Важная информация

User Tag List

Страница 6 из 21 ПерваяПервая ... 2345678910 ... ПоследняяПоследняя
Показано с 51 по 60 из 202

Тема: SQRUM 1M

  1. #51
    Master
    Регистрация
    20.01.2016
    Адрес
    п. Власиха, Московская обл.
    Сообщений
    593
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    19 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Но, если тут обходиться без регистров, тогда вся универсальность потеряется... и смысла в коде на Verilog не будет никакого

  2. #52
    Activist Аватар для omercury
    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от EvgenRU Посмотреть сообщение
    Но, если тут обходиться без регистров, тогда вся универсальность потеряется...
    Тэкс, попробуем на примере

    Скрытый текст

    вот здесь можно написать
    wire pid_setup; assign pid_setup = pid[3] & pid[2] & ~pid[1] & pid[0];
    а можно (кстати с использованием дефайна)
    wire pid_setup; assign pid_setup = (pid==`SETUP_PID);
    и сам дефайн в файле для наглядности. Подключается как обычно `include "имяфайла.v"
    [свернуть]



    Цитата Сообщение от EvgenRU Посмотреть сообщение
    и смысла в коде на Verilog не будет никакого
    Ага!
    Сколько времени Вы чертили схему? А сколько времени потом переписывали (практически не зная языка)?
    Какие инструменты нужны, чтоб составить схему? А для текстового режима? (я обычно использую notepad++ [programmers notepad к сожалению прекратил существование] и симулятор icarus verilog [дистрибутив 7Mb], квартус/кейл/студию/и т.д. уже на этапе сборки, единственная удобная фича в квартусе - комментирование/раскомментирование блока текста)))).
    Вложения Вложения
    • Тип файла: zip defs.zip (1.0 Кб, Просмотров: 43)
    Последний раз редактировалось omercury; 21.03.2017 в 01:56.

  3. #53
    Master
    Регистрация
    20.01.2016
    Адрес
    п. Власиха, Московская обл.
    Сообщений
    593
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    19 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Паяю платку... питание напаял и что-то энтузиазм пропал )) еще 4 пина JTAG, генератор и 60 пинов I/O осталось........ потом начну эксперименты ставить...
    Последний раз редактировалось EvgenRU; 22.03.2017 в 16:28.

  4. #54
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    338
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от EvgenRU Посмотреть сообщение
    Паяю платку...
    А схемка будет?

  5. #55
    Master
    Регистрация
    20.01.2016
    Адрес
    п. Власиха, Московская обл.
    Сообщений
    593
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    19 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Да я пока что отладочную делаю, там только CPLD, генератор, JTAG и штыри с выводами, остальное буду бутербордами пока что цеплять, как всё отлажу, тогда уж (если всё будет как надо работать) сделаю и схему и плату

    PS2: не выдержал, залил прошивку, синхра есть! можно дальше паять ))

    Скрытый текст

    [свернуть]


    PS3: всё, спаял паука!

    Скрытый текст

    [свернуть]
    Последний раз редактировалось EvgenRU; 10.08.2017 в 22:00.

  6. #56
    Master
    Регистрация
    02.03.2015
    Адрес
    г. Санкт-Петербург
    Сообщений
    800
    Спасибо Благодарностей отдано 
    340
    Спасибо Благодарностей получено 
    71
    Поблагодарили
    56 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Наверное с опозданием,
    но апостроф в SV позволяет длину вектора приравнивать к левой части без явного указания.
    logic [3:0] a;
    assign a = '1;
    Заполнит а единичками во всех разрядах.

    Массивы в верилог есть.
    reg[7:0] mem[255:0];
    256 байт памяти.

  7. #57
    Master
    Регистрация
    20.01.2016
    Адрес
    п. Власиха, Московская обл.
    Сообщений
    593
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    19 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ну что ж, собрал я это дело....
    И, первый результат :-D



    Так же как работа без буфера для сим )) но тут хоть какие-то данные на экране есть!
    Пойду дальше схему ковырять...

    PS: бордюр уже поправил (синхры и гашения не в порядке были), теперь главная проблема - работа с памятью без буферов...
    Последний раз редактировалось EvgenRU; 10.08.2017 в 22:03.

  8. #58
    Activist Аватар для omercury
    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Копейкин Посмотреть сообщение
    Массивы в верилог есть.
    Попробуйте его заполнить значениями при объявлении.

    Массивов в явном виде в Verilog нет, есть память.
    В VHDL кое как есть.

  9. #59
    Master
    Регистрация
    02.03.2015
    Адрес
    г. Санкт-Петербург
    Сообщений
    800
    Спасибо Благодарностей отдано 
    340
    Спасибо Благодарностей получено 
    71
    Поблагодарили
    56 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    -------- Попробуйте его заполнить значениями при объявлении.
    Для симуляции можно подгрузить данные из файла,
    для рабочей прошивки редактор содержимого памяти есть в квартусе,
    файл инициации памяти (*.mif) включаем в проект.
    Извиняюсь, если оффтопик.

  10. #60
    Activist Аватар для omercury
    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Копейкин Посмотреть сообщение
    Для симуляции можно подгрузить данные из файла,
    $readmemh

    Цитата Сообщение от Копейкин Посмотреть сообщение
    для рабочей прошивки редактор содержимого памяти есть в квартусе,
    да

    Цитата Сообщение от Копейкин Посмотреть сообщение
    файл инициации памяти (*.mif) включаем в проект.
    либо .hex

    О чём выше и сказано.

    Где здесь массив?

Страница 6 из 21 ПерваяПервая ... 2345678910 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •