Важная информация

User Tag List

Страница 17 из 21 ПерваяПервая ... 131415161718192021 ПоследняяПоследняя
Показано с 161 по 170 из 202

Тема: SQRUM 1M

  1. #161
    Master
    Регистрация
    20.01.2016
    Адрес
    п. Власиха, Московская обл.
    Сообщений
    593
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    19 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А как же арканоид? )
    Кстати, с арканоидом прикол, загрузил вчера без #FF, он естесственно висит,
    включил #FF, перезалил прошивку, ничего не сбросилось, игра пошла

    PS: вопрос по читалке магнитофона, есть ли смысл её делать? если да, то на чем лучше? я пробовал на NE555, но чёт не выходит, на выходе постоянно лог 1, на СА3 делал, там вроде получше, но эта микросхема уже раритет наверное...

    PS2: насчет модулей... ну... не знаю, мне как-то удобнее всё одним текстом, тем более, что там модули жестко связаны с данными других модулей, проще кусок текста переставил в другой файл, чем каждый раз эти шапки для подключения модулей писать
    Последний раз редактировалось EvgenRU; 07.05.2017 в 09:34.

  2. #162
    Guru Аватар для SoftFelix
    Регистрация
    20.03.2007
    Адрес
    Санкт-Петербург
    Сообщений
    2,975
    Спасибо Благодарностей отдано 
    171
    Спасибо Благодарностей получено 
    102
    Поблагодарили
    88 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от EvgenRU Посмотреть сообщение
    я пробовал на NE555, но чёт не выходит, на выходе постоянно лог 1
    Она должна быть обязательно наша отечественная 1006ВИ1! На любой импортной работать НЕ будет. Но если заработает (на нашей ВИ1), то очень хорошая читалка.
    МГТФ - любимый провод!
    KAY-1024(4096)/SL-4/TURBO v2010 + Nemo-FDC + Nemo-IDE (CF 4GB) + SMUC2 Rev.B RTC (HDD 1.6GB + DVD-ROM) + PROF-ROM + ZXMC2 + GENERAL SOUND 2MB + ZX-BUS_TEE + FDD 3.5' + FDD 5.25' + VGA&PAL

  3. #163
    Veteran Аватар для zebest
    Регистрация
    11.01.2008
    Адрес
    Ладошкино
    Сообщений
    1,675
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    323
    Спасибо Благодарностей получено 
    222
    Поблагодарили
    175 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от EvgenRU Посмотреть сообщение
    вопрос по читалке магнитофона, есть ли смысл её делать? если да, то на чем лучше?
    Делать, да. Почемуу нет. Как раз может в тот проект на ардуинке доделаете плейер тап-ок, будет на чем проверить)
    Гишпанцы ( и не только, делают читалку по такой схеме, как минимуум у полтыщщи человек работает.
    Profi v3.2 -=- Speccy2010,r2

  4. #164
    Activist Аватар для omercury
    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от EvgenRU Посмотреть сообщение
    на чем лучше?
    Примерно тысячу лет назад делал для своего Ленина то ли на двух, то ли на трёх инверторах К561ЛН1, единственное, что добавлял от себя - начальное смещение первого элемента. Турбу читал спокойно.

    Цитата Сообщение от EvgenRU Посмотреть сообщение
    на СА3 делал, там вроде получше, но эта микросхема уже раритет наверное...
    Компаратор обыкновенный.)))
    Любой компаратор или операционник в режиме компаратора, желательно R2R.
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	Image1.jpg 
Просмотров:	87 
Размер:	47.7 Кб 
ID:	60908  

  5. #165
    Master
    Регистрация
    20.01.2016
    Адрес
    п. Власиха, Московская обл.
    Сообщений
    593
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    19 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Жуть, пол дня провозился, чтобы сделать поддержку #FD, чего только не перепробовал, сплошные глюкодромы...
    Решение нашлось самое тривиальное :-D

    Код:
    /*write #FD */
    'b1_000X_XX0X_1111_1101: port_7FFD[4:0] <= dt[4:0];
    PS: OUT(#FD),A конечно не прокатит в некоторых случаях, но зато в тесте определяется наличие порта :-D

    UPD: в топку этот порт #FF, загрузил диззи 4 128, над диззи иногда возникают полоски, когда он дрыгается или если попрыгать, если отключить #FF, то проблема исчезает.

    PS2: поставил всё же свой контроллер клавы на атмеге8, гораздо приятнее работать и куча места во второй альтере освободилось

    PS3: пока что есть некоторая проблемка связи рамдиска и RC, но, надеюсь её побороть или сделать другой вариант выбора ПЗУ
    Последний раз редактировалось EvgenRU; 07.05.2017 в 18:16.

  6. #166
    Master
    Регистрация
    24.05.2005
    Адрес
    г. Запорожье, Украина
    Сообщений
    992
    Спасибо Благодарностей отдано 
    571
    Спасибо Благодарностей получено 
    365
    Поблагодарили
    239 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    если так нравится арканоид - есть версия без глюков с этим портом )
    по сути это не порт как таковой - а такое поведение обусловлено особенностями схемотехники оригинального спека, поэтому лучше забыть о нём сразу )

  7. #166
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #167
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Уважаемый автор, а схему Вы не выкладывали? Я только заметил фотографию девайса.

  9. #168
    Master
    Регистрация
    20.01.2016
    Адрес
    п. Власиха, Московская обл.
    Сообщений
    593
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    19 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fifan Посмотреть сообщение
    Уважаемый автор, а схему Вы не выкладывали? Я только заметил фотографию девайса.
    Да схемы пока как таковой и нет, как раскидаете пины, такой и будет.
    Там две альтеры, Z80, 27C512, 2x памяти 4x1Mb DRAM со старых симов, и еще контроллер клавы на атмега8, читалка магнитофона, ч/б композитный выход... еще приделаю 2 эмулятора AY для TS или 2 AY/YM поставлю, как пойдет, контроллер NEMO-IDE или Z-Controller, еще думать буду, ну и может что-то с флоппи, если надумаю.
    Как всё отлажу, тогда и схема будет и плата, но до этого еще далеко

    PS:
    dt - шина данных Z80,
    a - шина адреса Z80
    ma - шина адреса памяти
    md - шина данных памяти
    /IORQ подключается ко второй альтере, с неё iorq_out_n идет на первую, чтобы дос блокировал порты
    /NMI, /BUSREQ, /WAIT на +5в через резисторы
    Последний раз редактировалось EvgenRU; 07.05.2017 в 19:22.

  10. #169
    Activist Аватар для omercury
    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от EvgenRU Посмотреть сообщение
    Вложения

    Тип файла: zip SQRUMv06.zip (55.1 Кб, Просмотров: 7)
    Память до 14 МГц ещё не разгоняли?




    if(!res_n)
    wr_buf <= 8'bzzzzzzzz;
    Это лишнее, так как есть
    assign dt = ramcsn ? 8'bzzzzzzzz : wr_buf;

  11. #170
    Master
    Регистрация
    20.01.2016
    Адрес
    п. Власиха, Московская обл.
    Сообщений
    593
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    19 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от omercury Посмотреть сообщение
    Память до 14 МГц ещё не разгоняли?
    Пока что нет, не совсем понятно как её разгонять, т.е. есть цикл Z8, 2xH0, в нем 4 pixclk, получается, нужно /RAS и /CAS подать за 1 pixclk с частотой 14МГц и потом пользоваться памятью еще 3 pixclk?

    Цитата Сообщение от omercury Посмотреть сообщение
    Это лишнее, так как есть
    согласен, надо будет убрать, но на размер прошивки этот провод сброса вроде не влияет

    PS: вот еще для AY сигналы сделал
    Код:
    assign BDIR = ~(a[1] | iorq_out_n | wr_n) & (m1_n & a[15]);
    assign BC1 = ~(a[1] | iorq_out_n) & (m1_n & a[14] & a[15]);
    Вроде работает
    Последний раз редактировалось EvgenRU; 10.08.2017 в 22:38.

Страница 17 из 21 ПерваяПервая ... 131415161718192021 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •