Важная информация

User Tag List

Страница 27 из 78 ПерваяПервая ... 232425262728293031 ... ПоследняяПоследняя
Показано с 261 по 270 из 775

Тема: Karabas-128

  1. #261
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,731
    Спасибо Благодарностей отдано 
    355
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от NiKa Посмотреть сообщение
    у фирменных машин раздельное поле памяти и тактовая частота чуть выше, будет ли это препятствием для достижения большей совместимости на данной версии вашей платы?
    Вообще, если делать действительно полную совместимость с фирменной ULA128, допустим под оригинал 128КБ, то кварц надо будет менять на 14.1876МГц и переписывать прошивку под эти условия:
    The main processor runs at 3.54690 MHz, as opposed to 3.50000 MHz.
    There are 228 T-states per scanline, as opposed to 224.
    There are 311 scanlines per frame, as opposed to 312.
    There are 63 scanlines before the television picture, as opposed to 64.
    there are 70908 T states per frame.
    Кварц получается чуть больше 14МГц, но и меньше часто встречаемого 14.3. Надо пробовать вообщем. Ну а пока вариант с пентагоном и практически фирменной ULA48 в одной прошивке мне нравится больше. Надо подумать, как их переключать на лету, без перепрошивки.
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

  2. #262
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    667
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от solegstar Посмотреть сообщение
    Надо подумать, как их переключать на лету, без перепрошивки.
    vlad предлагал заюзать для переключения между турбо-режимом и обычным - клавиатуру. Для переключения между пентагоном и классическим режимом можно придумать тоже какую-нибудь хитрую комбинацию (типа CS+SS+1, CS+SS+2) и по ней переключать Это чтобы дополнительные кнопки не городить.

  3. #263
    Veteran Аватар для tank-uk
    Регистрация
    10.06.2015
    Адрес
    г. Запорожье, Украина
    Сообщений
    1,712
    Спасибо Благодарностей отдано 
    80
    Спасибо Благодарностей получено 
    415
    Поблагодарили
    198 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Тогда уж лучше 1+reset, 2+reset

    Отправлено с моего JY-S2 через Tapatalk

    Скрытый текст


    Специалист "УЦА" +SD-Vinxru +PS/2-Vinxru
    PLM-X 128 + AY -эмуль на 8меге
    Profi V3.2 - 768+Color-CP/M+ProfiExtender Solegstar
    Profi V3.2 -1024 Sim30+Color-CP/M+ProfiExtender Solegstar
    Pentagon 1024SL 1.42 - работает не совсем стабильно
    ZXM-Phoenix V06
    ZX-Evolition Rev C2 White FSP-MItX
    Sprinter 2000 v.Zorel
    Karabas128 + ZX-Taper by TrolSoft +DivSD +ScanDoubler
    ZX-UNO v4.1 2М с 4 переключаемыми флешками
    Поиск-1 - ждет ремонта
    Корвет ПК8010 up to 8020
    MisT 1.31
    Galaksija + SMD
    [свернуть]

  4. #264
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,731
    Спасибо Благодарностей отдано 
    355
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Посмотрел схему и вот с ресетом похоже будет проблема - шина адреса при сбросе в z-состоянии, соотв. Транзисторы клавиатуры закрыты и на разъёме клавиатуры после них подтяжка к 5в через RN3. Второй разъём клавиатуры KBx тоже подтянут к 5в через RN2. Как вычислить какая клавиша при этом нажата на клавиатуре? У кого какие идеи будут?
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

  5. #265
    Guru
    Регистрация
    16.12.2008
    Адрес
    Kharkov, Ukraina
    Сообщений
    2,221
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    21
    Поблагодарили
    18 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А я то думал там клава ЗЫ/2 рулит. Точно не взлетит

    Поставить отдельно кнопку.

    Матрица вся забита. И ножки видимо. Тут требуется особо извращенный подход Сори.
    Последний раз редактировалось OrionExt; 20.09.2017 в 19:08.
    Электроника КР-02, MSX YIS-503IIR, Орион-128, Ленинград-2, Pentagon-128k, MSX2 YIS-503IIIR, MSX-EXT, ...

  6. #266
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,731
    Спасибо Благодарностей отдано 
    355
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Взлетит, если допаять диод с ресета на нужный бит адреса, т.е. При 0 на ресете этот же 0 появляется через диод на пине клавиатуры и плис его считывает, но так не хочется ради этого ещё и паять..

    - - - Добавлено - - -

    С другой стороны появляется возможность повесить 5 кнопок от 1 до 5 на комбинации с Ресетом. Допустим на кнопку 3 можно повесить сброс сразу в 48кб с блокировкой верхней памяти. Надо попробовать реализовать в прошивке, а то вдруг не влезет всё задуманное.
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

  7. #267
    Activist Аватар для omercury
    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от solegstar Посмотреть сообщение
    Взлетит, если допаять диод с ресета на нужный бит адреса, т.е. При 0 на ресете этот же 0 появляется через диод на пине клавиатуры и плис его считывает
    И каким образом прц прочитает порт, пока находится в сбросе?

  8. #268
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,731
    Спасибо Благодарностей отдано 
    355
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от omercury Посмотреть сообщение
    И каким образом прц прочитает порт, пока находится в сбросе?
    а зачем нам проц, если регистр будет в плис? проц тут вообще не причем.
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

  9. #269
    Veteran Аватар для tank-uk
    Регистрация
    10.06.2015
    Адрес
    г. Запорожье, Украина
    Сообщений
    1,712
    Спасибо Благодарностей отдано 
    80
    Спасибо Благодарностей получено 
    415
    Поблагодарили
    198 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ещё вариант, вместе с режимом переключать ПЗУ, или вместе с ПЗУ переключать режим

    Отправлено с моего JY-S2 через Tapatalk

    Скрытый текст


    Специалист "УЦА" +SD-Vinxru +PS/2-Vinxru
    PLM-X 128 + AY -эмуль на 8меге
    Profi V3.2 - 768+Color-CP/M+ProfiExtender Solegstar
    Profi V3.2 -1024 Sim30+Color-CP/M+ProfiExtender Solegstar
    Pentagon 1024SL 1.42 - работает не совсем стабильно
    ZXM-Phoenix V06
    ZX-Evolition Rev C2 White FSP-MItX
    Sprinter 2000 v.Zorel
    Karabas128 + ZX-Taper by TrolSoft +DivSD +ScanDoubler
    ZX-UNO v4.1 2М с 4 переключаемыми флешками
    Поиск-1 - ждет ремонта
    Корвет ПК8010 up to 8020
    MisT 1.31
    Galaksija + SMD
    [свернуть]

  10. #270
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,731
    Спасибо Благодарностей отдано 
    355
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от tank-uk Посмотреть сообщение
    Ещё вариант, вместе с режимом переключать ПЗУ, или вместе с ПЗУ переключать режим
    Для этого нужны еще свободные выводы ПЛИС. их у нас и так нет. а пока посмотрите такой вариант переключалки, вечером проверю:
    Код:
    	process( CLK14 )
    	begin
    		if CLK14'event and CLK14 = '1' then 
    			if N_RESET='0' then
    				if KB="11110" then -- "1" key pressed
    					sync_mode <= "01";
    				elsif KB="11101" then -- "2" key pressed
    					sync_mode <= "00";
    				end if;
    			end if;
    		end if;
    	end process;
    Последний раз редактировалось solegstar; 21.09.2017 в 21:06. Причина: исправил опечатку.
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

Страница 27 из 78 ПерваяПервая ... 232425262728293031 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 506
    Последнее: 15.09.2023, 02:34
  2. 128-48
    от ZX_NOVOSIB в разделе Разное
    Ответов: 10
    Последнее: 05.03.2016, 17:22
  3. Продам Composite-128(Kay-128) с BDI
    от _Ratibor_ в разделе Барахолка (архив)
    Ответов: 7
    Последнее: 08.08.2011, 14:22
  4. Куплю Кворум 128, либо Пентагон 128
    от IL_DECAMERON в разделе Барахолка (архив)
    Ответов: 5
    Последнее: 13.03.2011, 18:35
  5. Продам фирменные Speccy : 128+ и 128 +3 + divid + много всего ....
    от Zerios в разделе Барахолка (архив)
    Ответов: 12
    Последнее: 09.12.2010, 00:19

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •