Важная информация

User Tag List

Страница 7 из 78 ПерваяПервая ... 34567891011 ... ПоследняяПоследняя
Показано с 61 по 70 из 775

Тема: Karabas-128

  1. #61
    Activist
    Регистрация
    06.04.2015
    Адрес
    г. Приозерск, Ленинградская обл.
    Сообщений
    299
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от NiKa Посмотреть сообщение
    Получил ответ
    А analysis&synthesis нажимал перед RTL Viewer?
    Scorpion ZS-256turbo_Проф ПЗУ27040_Smuc_HDD_PCkeyMause(Scorp)_GS_512+XTR Модем(X-Trade)
    ZX-Evolution rev.С+VDAC+OldGS_512+OpenSMUC+TSFM+TS-conf
    Amiga500 1m+512k
    Schneider EuroPC
    ReVerSE-U16

  2. #61
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #62
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,404
    Спасибо Благодарностей отдано 
    319
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Достаточно просто собрать проект, чтобы стал доступен RTL Viewer. Ему же надо иметь кэш синтезатора и фиттера, а они не хранятся, если проект закрываешь. А из SOF не восстанавливается. Поэтому, лучше именно собрать проект, потому как результат в RTL будет сильно зависеть от использованной FPGA/CPLD.

  4. #63
    Activist
    Регистрация
    06.04.2015
    Адрес
    г. Приозерск, Ленинградская обл.
    Сообщений
    299
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от HardWareMan Посмотреть сообщение
    результат в RTL будет сильно зависеть от использованной FPGA/CPLD
    Даже если в схемном виде из 74 логики(или простых лог элементов) собрать схему,то кактус пересоберет схему так как ему лучше и схема в RTL не будет такой как рисовал автор.
    Последний раз редактировалось AndyD; 28.06.2017 в 08:38.
    Scorpion ZS-256turbo_Проф ПЗУ27040_Smuc_HDD_PCkeyMause(Scorp)_GS_512+XTR Модем(X-Trade)
    ZX-Evolution rev.С+VDAC+OldGS_512+OpenSMUC+TSFM+TS-conf
    Amiga500 1m+512k
    Schneider EuroPC
    ReVerSE-U16

  5. #64
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,404
    Спасибо Благодарностей отдано 
    319
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    AndyD, этим занимается синтезатор: он делает оптимизации. Но именно схемный ввод будет наиболее приближен к результату в RTL.

  6. #65
    Activist
    Регистрация
    02.01.2006
    Адрес
    Ufa
    Сообщений
    466
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    8
    Поблагодарили
    8 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А нельзя ли вместо обычной клавиатуры сделать PS/2 и добавить TR-DOS и SD-Card интерфейс?

  7. #66
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от roma Посмотреть сообщение
    А нельзя ли вместо обычной клавиатуры сделать PS/2 и добавить TR-DOS и SD-Card интерфейс?
    Нельзя, т.к. это уже Barabas-128.

  8. #67
    Activist
    Регистрация
    02.01.2006
    Адрес
    Ufa
    Сообщений
    466
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    8
    Поблагодарили
    8 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    Нельзя, т.к. это уже Barabas-128.
    Ну пусть будет Barabas-128 :-)

  9. #68
    Master Аватар для NiKa
    Регистрация
    10.02.2015
    Адрес
    г. Екатеринбург
    Сообщений
    789
    Спасибо Благодарностей отдано 
    45
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    27 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от AndyD Посмотреть сообщение
    А analysis&synthesis нажимал перед RTL Viewer?
    Спасибо, все заработало, можно теперь схему посмотреть.

    Моё железо

    ZX Spectrum 48K issue 2/3/4A/4B/4S/6A
    ZX Spectrum +2
    ZX Spectrum +3
    Harlequin 48K
    Harlequin 128K
    Karabas-128
    [свернуть]

  10. #69
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    667
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от roma Посмотреть сообщение
    А нельзя ли вместо обычной клавиатуры сделать PS/2 и добавить TR-DOS и SD-Card интерфейс?
    Тогда получится Speccy-2007 ))

  11. #70
    Activist
    Регистрация
    02.01.2006
    Адрес
    Ufa
    Сообщений
    466
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    8
    Поблагодарили
    8 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andykarpov Посмотреть сообщение
    Тогда получится Speccy-2007 ))
    Зато сразу 128 Кб и со звуком. Просто вместо 5 пинов используемых сейчас для клавиатуры, 2 пина пойдут на PS/2, и 3 для SD карты. Только ПЛИСка более емкая нужна будет ...

Страница 7 из 78 ПерваяПервая ... 34567891011 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 506
    Последнее: 15.09.2023, 02:34
  2. 128-48
    от ZX_NOVOSIB в разделе Разное
    Ответов: 10
    Последнее: 05.03.2016, 17:22
  3. Продам Composite-128(Kay-128) с BDI
    от _Ratibor_ в разделе Барахолка (архив)
    Ответов: 7
    Последнее: 08.08.2011, 14:22
  4. Куплю Кворум 128, либо Пентагон 128
    от IL_DECAMERON в разделе Барахолка (архив)
    Ответов: 5
    Последнее: 13.03.2011, 18:35
  5. Продам фирменные Speccy : 128+ и 128 +3 + divid + много всего ....
    от Zerios в разделе Барахолка (архив)
    Ответов: 12
    Последнее: 09.12.2010, 00:19

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •