Важная информация

User Tag List

Страница 1 из 78 12345 ... ПоследняяПоследняя
Показано с 1 по 10 из 775

Тема: Karabas-128

  1. #1
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    338
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    Cool Karabas-128

    Дорогие друзья!

    Вашему вниманию предлагается очередной клон-велосипед под кодовым названием Карабас-128 .

    Идеей к созданию послужило желание заиметь ZX-Spectrum 128к совместимый комп с минимальным количеством деталей и, соответственно, стоимостью. Идея максимум - развести плату под размер корпуса от оригинального ZX Spectrum 48k, чтобы все разъемы, крепежные отверстия соответствовали их местоположению и назначению. Идея не нова, товарищ Superfo делает Harlequin 48k и 128k, есть еще 100500 разных вариаций на тему (ZAXXON Just Speccy 128, например), и т.п.
    Но финальная стоимость собранной платы без корпуса иногда зашкаливает за $100. Может для буржуев это и не столь внушительная сумма, но если можно собрать дешевле - то почему бы и не попробовать ?

    За основу для проекта был взят одноплатный вариант Speccy 2007 128к на одной микросхеме SRAM 621024 (автор: .jackson). В качестве CPLD была выбрана Altera EPM7128STC100, которая дала возможность использовать 84 доступных I/O (задействованы все). Pal coder, согласователь уровней RGB, питающая часть и читалка были взяты из схемы Harlequin 48k Rev. G.

    Итого, на сегодняший день:
    0) Собран прототип на макетной плате
    1) Разведена и выпущена плата ревизии А
    2) Плата собрана, все работает, в ревизии A найден ряд недоработок (см ERRATA)
    3) Выпущены ревизии платы B и B1 - в основном багфиксы.

    Что из себя представляет Карабас-128?
    - Плата для размещения в корпусе от оригинального ZX Spectrum 48k
    - Конфигурация Spectrum 128k с времянками пентагона
    - Возможность переключаться с помощью горячих клавиш между режимом пентагона и режимом ula48 (с эмуляцией медленной памяти). Для данной фичи необходима небольшая доработка
    - порт #FE для чтения физической 40-кнопочной клавиатуры
    - реализован порт #FF
    - на плате присутствует AY-3-8912
    - фирменный разъем (краевой слот) для подключения внешней периферии
    - работает читалка с магнитофона
    - есть выход на подключение VGA Scandoubler'а ZXKIT1
    - присутствует RGB выход для подключения к ТВ через SCART
    - присутствует PAL coder для подключения к ТВ по НЧ каналу

    Текущая версия схемы, gerber'ов платы и прошивки тут: https://github.com/andykarpov/karabas-128

    Доработка платы от solegstar для перключения режимов "pentagon" и "ula48" по RESET+1 и RESET+2: http://zx-pk.ru/threads/27724-karaba...l=1#post928848. Данная доработка включена в ревизии B1.

    Доработка сигнала CLK на краевом слоте для плат ревизий A и B до ревизии B1:



    1) чикнуть дорожку
    2) запустить сигнал на свободный инвертер
    [свернуть]


    Вариант решения проблемы тусклой картинки от crrush при подключении по RGB: https://zx-pk.ru/threads/27724-karab...=1#post1068344. Данная доработка является опциональной, потому как мнения разделились - у части пользователей все отлично, а у части - тусклые цвета. Вероятно, от монитора/ТВ также зависит.

    Special thanks to: syd, .jackson, Superfo, tank-uk, NiKa, MV1971, solegstar, vlad
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	progress11.jpg 
Просмотров:	2515 
Размер:	69.1 Кб 
ID:	61616   Нажмите на изображение для увеличения. 

Название:	progress12.jpg 
Просмотров:	1467 
Размер:	37.4 Кб 
ID:	61617   Нажмите на изображение для увеличения. 

Название:	28810810_910315829137466_268725562_o.jpg 
Просмотров:	1100 
Размер:	71.3 Кб 
ID:	64617   Нажмите на изображение для увеличения. 

Название:	progress15.jpg 
Просмотров:	1028 
Размер:	22.6 Кб 
ID:	64603   Нажмите на изображение для увеличения. 

Название:	progress3.jpg 
Просмотров:	1534 
Размер:	66.6 Кб 
ID:	61061  

    Нажмите на изображение для увеличения. 

Название:	progress4.jpg 
Просмотров:	1588 
Размер:	82.3 Кб 
ID:	61062   Нажмите на изображение для увеличения. 

Название:	progress5.jpg 
Просмотров:	1266 
Размер:	69.3 Кб 
ID:	61063   Нажмите на изображение для увеличения. 

Название:	Screenshot at июня 04 22-53-25.jpg 
Просмотров:	1256 
Размер:	23.9 Кб 
ID:	61614   Нажмите на изображение для увеличения. 

Название:	IMG_20170623_130932_HDR-s.jpg 
Просмотров:	1153 
Размер:	74.8 Кб 
ID:	61613   Нажмите на изображение для увеличения. 

Название:	progress10.jpg 
Просмотров:	1221 
Размер:	34.6 Кб 
ID:	61615  

    Последний раз редактировалось andykarpov; 15.06.2020 в 00:53. Причина: добавлено описание доработки яркости картинки по RGB

  2. Эти 3 пользователя(ей) поблагодарили andykarpov за это полезное сообщение:

    Butylkin (19.04.2021), creator (11.07.2023), fomonster (19.11.2019)

  3. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  4. #2
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    338
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    Talking

    Допаял VGA scandoubler (zxkit1), thanks to BARIGA_UA, цветная картинка - это ж значительно удобнее, чем ч/б

    Нажмите на изображение для увеличения. 

Название:	progress6.jpg 
Просмотров:	1598 
Размер:	62.3 Кб 
ID:	61083

  5. #3
    Master Аватар для NiKa
    Регистрация
    10.02.2015
    Адрес
    г. Екатеринбург
    Сообщений
    789
    Спасибо Благодарностей отдано 
    45
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    27 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ключевая фраза - "есть еще 100500 разных вариаций на тему", и ни одного 100% совместимого с оригиналом.

    Моё железо

    ZX Spectrum 48K issue 2/3/4A/4B/4S/6A
    ZX Spectrum +2
    ZX Spectrum +3
    Harlequin 48K
    Harlequin 128K
    Karabas-128
    [свернуть]

  6. #4
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    338
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от NiKa Посмотреть сообщение
    Ключевая фраза - "есть еще 100500 разных вариаций на тему", и ни одного 100% совместимого с оригиналом.
    Ну, на то он и оригинал! Более того, даже в оригиналах есть разные аспекты несовместимости друг с другом.
    Мой велосипед не претендует на 100% совместимость с оригиналом, да и вряд ли это возможно в рамках выбранной элементной базы и технологий.
    Будет только некоторая совместимость по форм-фактору и набору внешних разъемов / интерфейсов

  7. #5
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    338
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Текущий прогресс:

    Нажмите на изображение для увеличения. 

Название:	Screenshot at мая 26 14-09-28.jpg 
Просмотров:	1222 
Размер:	23.5 Кб 
ID:	61145

    Пока столкнулся с трудностями разводки, осталось 49 соединений развести, но что-то не получается.
    Видимо буду заново делать, переназначать входы/выходы CPLD в соответствии с физическим расположением компонентов...

  8. #6
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,164
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    124
    Поблагодарили
    70 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andykarpov Посмотреть сообщение
    Пока столкнулся с трудностями разводки, осталось 49 соединений развести, но что-то не получается.
    Видимо буду заново делать, переназначать входы/выходы CPLD в соответствии с физическим расположением компонентов...
    Правильно. У меня с Сябром хуже дело было - микросхемы слишком густо были расположены, а у Вас есть где разгуляться.

  9. #7
    Master Аватар для NiKa
    Регистрация
    10.02.2015
    Адрес
    г. Екатеринбург
    Сообщений
    789
    Спасибо Благодарностей отдано 
    45
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    27 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Выскажу свое скромное видение подобного клона, вся ваша задумка остается, т.е. Z80, AY-3-8912, ROM (чтоб легко было сменить прошивку) и RAM (один, два или четыре чипа), а вот CPLD на плате не распаивать, просто распаять 48 пиновый сокет, что бы можно было воткнуть оригинальную ULA от 128 спекка (у кого она есть) или воткнуть в сокет плату с CPLD, подобное сделано на ZAXXON Just Speccy 128 но там много лишнего: 16 чипов памяти да еще и картридер, что делает его версию непригодной для повторения.

    Моё железо

    ZX Spectrum 48K issue 2/3/4A/4B/4S/6A
    ZX Spectrum +2
    ZX Spectrum +3
    Harlequin 48K
    Harlequin 128K
    Karabas-128
    [свернуть]

  10. #8
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,164
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    124
    Поблагодарили
    70 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А по-моему автор делает правильно. Если даже попинно и вывести сигналы по стандарту ULA, то не все они совпадут. Это клон andykarpov'а и он сам заморочился и сделал то что сделал. Каждый вправе придумать и сделать свой клон по своему вкусу.

  11. #9
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    338
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fifan Посмотреть сообщение
    Если даже попинно и вывести сигналы по стандарту ULA, то не все они совпадут. Это клон andykarpov'а и он сам заморочился и сделал то что сделал. Каждый вправе придумать и сделать свой клон по своему вкусу.
    Так и есть. Цель не была сделать заменитель ULA, тем более что такие проекты уже и так есть, и там добавить особо нечего.
    Клоны, которые используют оригинальную ULA тоже есть.

    Посему, в моей схеме ничего кардинально меняться не будет. Ну разве что еще несколько десятков конденсаторов добавится ))

    UPD2: Карабас запел, AY работает отлично. И, хочу заменить, все-таки настоящий живой AY как-то иначе звучит, насыщенней что-ли и по аналогово-ламповому Долго ловил глюки, похоже AY мусорил на ШД, оказалось - он к качеству питания очень сильно привязан. Запитал от качественного БП - все глюки исчезли.

    UPD3: Также вывел вторым этажом системный разъем для подключения внешней периферии. Сделал ROMCS и IORQGE. Но вот по последнему - есть ряд непоняток. В одних местах говорят, что этот сигнал должен блокировать только внутренние порты юлы (#FE и #FF в моем случае), в других местах говоря - все встроенные порты, включая #7FFD. Где-то встречались схемы, что этот сигнал должен блокировать юлу только при наличии A0, в других местах - при наличии A0 и A7... В общем, кто бы прояснил?

  12. #10
    Master Аватар для NiKa
    Регистрация
    10.02.2015
    Адрес
    г. Екатеринбург
    Сообщений
    789
    Спасибо Благодарностей отдано 
    45
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    27 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Хорошо попробуйте игры, которые точно не запускаются на Harlequin 128K, например: Boovie 2, Robocop 3 (1992 Ocean) взяты с WoS.

    Моё железо

    ZX Spectrum 48K issue 2/3/4A/4B/4S/6A
    ZX Spectrum +2
    ZX Spectrum +3
    Harlequin 48K
    Harlequin 128K
    Karabas-128
    [свернуть]

Страница 1 из 78 12345 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 506
    Последнее: 15.09.2023, 02:34
  2. 128-48
    от ZX_NOVOSIB в разделе Разное
    Ответов: 10
    Последнее: 05.03.2016, 17:22
  3. Продам Composite-128(Kay-128) с BDI
    от _Ratibor_ в разделе Барахолка (архив)
    Ответов: 7
    Последнее: 08.08.2011, 14:22
  4. Куплю Кворум 128, либо Пентагон 128
    от IL_DECAMERON в разделе Барахолка (архив)
    Ответов: 5
    Последнее: 13.03.2011, 18:35
  5. Продам фирменные Speccy : 128+ и 128 +3 + divid + много всего ....
    от Zerios в разделе Барахолка (архив)
    Ответов: 12
    Последнее: 09.12.2010, 00:19

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •