Важная информация

User Tag List

Страница 14 из 78 ПерваяПервая ... 101112131415161718 ... ПоследняяПоследняя
Показано с 131 по 140 из 775

Тема: Karabas-128

  1. #131
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от tank-uk Посмотреть сообщение
    ZX-Taper не предназначен для турбо-загрузки
    Подтверждаю!

  2. #132
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вспомнил о существовании одного интересного американо-китайского магазина: http://www.futurlec.com
    Покупал у них неоднократно всякие редкие (по нынешних временам) штуки.

    У них в наличии есть DIP-версии 74-й серии (ALS, HC, HCT), включая SMD-версии, а также SRAM нужного объема и в нужном корпусе для Karabas-128: http://www.futurlec.com/ICRAM.shtml

    Более того, вроде как есть аналоги 565РУ7 (41256), может кому надо?
    Сайт, конечно, старомоден, как и ассортимент на нем. Система заказа (корзина, чекаут, онлайн-оплата) - это вообще жесть

  3. #133
    Master Аватар для NiKa
    Регистрация
    10.02.2015
    Адрес
    г. Екатеринбург
    Сообщений
    789
    Спасибо Благодарностей отдано 
    45
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    27 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Магазин вроде как не работает.

    Моё железо

    ZX Spectrum 48K issue 2/3/4A/4B/4S/6A
    ZX Spectrum +2
    ZX Spectrum +3
    Harlequin 48K
    Harlequin 128K
    Karabas-128
    [свернуть]

  4. #134
    Guru Аватар для CodeMaster
    Регистрация
    26.04.2009
    Адрес
    г. Воронеж
    Сообщений
    6,232
    Спасибо Благодарностей отдано 
    140
    Спасибо Благодарностей получено 
    211
    Поблагодарили
    182 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от NiKa Посмотреть сообщение
    Магазин вроде как не работает.
    Вроде работает, но FF ругается на ошибки Javascript.
    "Во времена всеобщей лжи говорить правду - это экстремизм" - афоризм.

  5. #135
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от CodeMaster Посмотреть сообщение
    Вроде работает, но FF ругается на ошибки Javascript.
    Хммм, в Safari все норм, ошибок JS не наблюдаю...

  6. #136
    Veteran Аватар для tank-uk
    Регистрация
    10.06.2015
    Адрес
    г. Запорожье, Украина
    Сообщений
    1,712
    Спасибо Благодарностей отдано 
    80
    Спасибо Благодарностей получено 
    415
    Поблагодарили
    198 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    andykarpov, а какая частота клок для AY ? подключил эмуль на меге8 играет какая то лабуда

    Скрытый текст


    Специалист "УЦА" +SD-Vinxru +PS/2-Vinxru
    PLM-X 128 + AY -эмуль на 8меге
    Profi V3.2 - 768+Color-CP/M+ProfiExtender Solegstar
    Profi V3.2 -1024 Sim30+Color-CP/M+ProfiExtender Solegstar
    Pentagon 1024SL 1.42 - работает не совсем стабильно
    ZXM-Phoenix V06
    ZX-Evolition Rev C2 White FSP-MItX
    Sprinter 2000 v.Zorel
    Karabas128 + ZX-Taper by TrolSoft +DivSD +ScanDoubler
    ZX-UNO v4.1 2М с 4 переключаемыми флешками
    Поиск-1 - ждет ремонта
    Корвет ПК8010 up to 8020
    MisT 1.31
    Galaksija + SMD
    [свернуть]

  7. #136
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #137
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    tank-uk, клок для AY - 1.75МГц.
    С реальным AY все работает. Странно, однако.
    А Вы этот эмулятор AY еще где-нибудь пробовали на другом железе?

  9. #138
    Veteran Аватар для tank-uk
    Регистрация
    10.06.2015
    Адрес
    г. Запорожье, Украина
    Сообщений
    1,712
    Спасибо Благодарностей отдано 
    80
    Спасибо Благодарностей получено 
    415
    Поблагодарили
    198 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    andykarpov, так и шил, странно , вот сейчас на PLM-X миликает и довольно прилично

    Скрытый текст


    Специалист "УЦА" +SD-Vinxru +PS/2-Vinxru
    PLM-X 128 + AY -эмуль на 8меге
    Profi V3.2 - 768+Color-CP/M+ProfiExtender Solegstar
    Profi V3.2 -1024 Sim30+Color-CP/M+ProfiExtender Solegstar
    Pentagon 1024SL 1.42 - работает не совсем стабильно
    ZXM-Phoenix V06
    ZX-Evolition Rev C2 White FSP-MItX
    Sprinter 2000 v.Zorel
    Karabas128 + ZX-Taper by TrolSoft +DivSD +ScanDoubler
    ZX-UNO v4.1 2М с 4 переключаемыми флешками
    Поиск-1 - ждет ремонта
    Корвет ПК8010 up to 8020
    MisT 1.31
    Galaksija + SMD
    [свернуть]

  10. #139
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от tank-uk Посмотреть сообщение
    вот сейчас на PLM-X миликает и довольно прилично
    К реализации AY в Карабасе у меня, кстати, были вопросы, код взят без изменений из конфигурации Speccy2007, выглядит примерно так:
    Код:
    AY_CLK  <= chr_col_cnt(1);
    ay_port <= '0' when N_WR = '1' and N_RD = '1' else
            '1' when vbus_mode = '0' and MA(1 downto 0) = "01" else
            '0' when vbus_mode = '0' else
            ay_port;
    AY_BC1  <= '1' when ay_port = '1' and N_M1 = '1' and N_IORQ = '0' and A14 = '1' and A15 = '1' else '0';
    AY_BDIR <= '1' when ay_port = '1' and N_M1 = '1' and N_IORQ = '0' and A15 = '1' and N_WR = '0' else '0';
    Так вот, есть вопросы по вычислению флажка ay_port.
    Более того, компилятор ругался на какую-то циклическую рекурсию в этом месте.

    - - - Добавлено - - -

    Судя по этой схеме https://zxpress.ru/article.php?id=11995, нужно сделать как-то так:
    Код:
    AY_CLK <= chr_col_cnt(1);
    AY_BC1  <= '1' when N_M1 = '1' and N_IORQ = '0' and A14 = '1' and A15 = '1' and A[1] = '0' else '0';
    AY_BDIR <= '1' when N_IORQ = '0' and A15 = '1' and A[1] = '0' and N_WR = '0' else '0';
    Поправьте, если не прав. Проверить в ближайшую неделю не будет возможности.

    - - - Добавлено - - -

    UPD: закоммитил новый karabas_128.pof в git, просьба проверить.

  11. #140
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,731
    Спасибо Благодарностей отдано 
    355
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от andykarpov Посмотреть сообщение
    Судя по этой схеме https://zxpress.ru/article.php?id=11995, нужно сделать как-то так:
    по схеме на zxpress да, вроде так.
    я у себя делал чуть по другому. это из прошивки платы периферии Profi 5.06:
    Код:
    fon <= '0' when adress(15)='1' and adress(1)='0' and iorqge='0' and iorq='0' and m1='1' else '1';
    bc1 <= '1' when adress(14)='1' and fon='0' else '0';
    bdir <= '1' when wr='0' and fon='0' else '0';
    разница в том, что М1 добавлен также и в BDIR, как в исходном варианте прошивки карабаса. еще, если со слота расширения приходит iorqge, его желательно тоже ввести в дешифратор, чтобы небыло проблем, т.к. дешифрация музпроца короткая.
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

Страница 14 из 78 ПерваяПервая ... 101112131415161718 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 506
    Последнее: 15.09.2023, 02:34
  2. 128-48
    от ZX_NOVOSIB в разделе Разное
    Ответов: 10
    Последнее: 05.03.2016, 17:22
  3. Продам Composite-128(Kay-128) с BDI
    от _Ratibor_ в разделе Барахолка (архив)
    Ответов: 7
    Последнее: 08.08.2011, 14:22
  4. Куплю Кворум 128, либо Пентагон 128
    от IL_DECAMERON в разделе Барахолка (архив)
    Ответов: 5
    Последнее: 13.03.2011, 18:35
  5. Продам фирменные Speccy : 128+ и 128 +3 + divid + много всего ....
    от Zerios в разделе Барахолка (архив)
    Ответов: 12
    Последнее: 09.12.2010, 00:19

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •