Важная информация

User Tag List

Страница 24 из 78 ПерваяПервая ... 202122232425262728 ... ПоследняяПоследняя
Показано с 231 по 240 из 775

Тема: Karabas-128

  1. #231
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    667
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от NiKa Посмотреть сообщение
    Поменял сегодня ad724, как и ожидалось видео выход заработал.
    Мои поздравления!

    Цитата Сообщение от NiKa Посмотреть сообщение
    Следующим этапом была проверка AY - все вроде работает, вот только наверное надо было вывести еще и на разъем Mini-Din?
    Нужно подумать. Для стерео там не хватит контактов. Для моно - можно попробовать подмешивать к биперному выходу.
    Может перемычки предусмотреть какие-нибудь для этого?
    Лично мне достаточно отдельного 3.5мм мини-джека для стерео-выхода.

  2. #232
    Master Аватар для NiKa
    Регистрация
    10.02.2015
    Адрес
    г. Екатеринбург
    Сообщений
    789
    Спасибо Благодарностей отдано 
    45
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    27 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Дак вот и можно, когда в 3.5мм мини-джек ни чего не воткнуто, звук с двух его контактов (которые в данный момент не задействованы) идет на Mini-Din, так сделано в Арлекине 128.

    Моё железо

    ZX Spectrum 48K issue 2/3/4A/4B/4S/6A
    ZX Spectrum +2
    ZX Spectrum +3
    Harlequin 48K
    Harlequin 128K
    Karabas-128
    [свернуть]

  3. #233
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Пытаюсь подружить DivGMX c платой, есть вопрос по резистору R34 1K через который сигнал N_ROMCS поступает на вывод 22 OE# ROM IC2. Для чего он там? Без него на осциллограмме сигнал куда более лучше выглядит.

  4. #234
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    667
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    Пытаюсь подружить DivGMX c платой, есть вопрос по резистору R34 1K через который сигнал N_ROMCS поступает на вывод 22 OE# ROM IC2. Для чего он там? Без него на осциллограмме сигнал куда более лучше выглядит.
    Кажется, это атавизм пробрался, изначально BUS_N_ROMCS планировался, как и в оригиналах, заводиться напрямую на N_CS ПЗУ, а через резистор должен был поступать сигнал N_ROMCS с ULA. Если без него осциллограммы лучше, можем заменить на 0 Ом
    Насколько это принципиальный вопрос?

  5. #235
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Не принципиально, подтвердил догадку )
    Думаю над турбированием 7МГц для возможности работы своего контроллера с дисками HD и винта. Включение "турбо" можно повесить на какую-нибудь комбинацию клавиш, может даже covox получится всунуть в CPLD... Кеш бы для ROM ещё.. Так, ностальгия прям )

  6. #236
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    667
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    Думаю над турбированием 7МГц для возможности работы своего контроллера с дисками HD и винта. Включение "турбо" можно повесить на какую-нибудь комбинацию клавиш, может даже covox получится всунуть в CPLD... Кеш бы для ROM ещё..
    Было бы здорово, но сильно прийдется пересчитывать счетчики доступа к памяти, имхо.
    Ковокс, кеш - там ресурсов в CPLD почти не осталось

  7. #237
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,731
    Спасибо Благодарностей отдано 
    355
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от andykarpov Посмотреть сообщение
    Кажется, это атавизм пробрался, изначально BUS_N_ROMCS планировался, как и в оригиналах, заводиться напрямую на N_CS ПЗУ, а через резистор должен был поступать сигнал N_ROMCS с ULA. Если без него осциллограммы лучше, можем заменить на 0 Ом
    Насколько это принципиальный вопрос?
    Вообще, так и надо сделать, подключить bus_n_romcs на n_cs пзу, но резистор r34 оставить 470-680 Ом. Как это было в наших машинках. 1кОм многовато, как по мне. сигнал bus_n_romcs отключить от плис и вместо него приделать управление турбой. И убрать резистор r51.
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

  8. #238
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    667
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Принимается! По поводу номинала резистора - тут как бы камень преткновения. Опытным путем vlad установил, что при сопротивлении 1кОм и машинка еще работает, и DivGMX'у хватает мощности ключа для управления этим сигналом извне. На оригинальных машинах, кстати, по-разному этот резистор ставился, от 470 Ом до 1к, насколько я знаю, видимо еще от применяемого типа ПЗУ зависит...

  9. #239
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,731
    Спасибо Благодарностей отдано 
    355
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    А, ну точно... Ну тогда до 1кОм для устройств на ПЛИС)
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

  10. #240
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,731
    Спасибо Благодарностей отдано 
    355
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от NiKa Посмотреть сообщение
    solegstar, Эксперименты с фирменным режимом, можно подробней пожалуйста.
    Ок. Приехал и продолжил эксперименты. Фирменный режим был в прошивке карабаса еще с самого начала выпуска. Андрей сделал выбор режима в прошивке с помощью виртуального сигнала (внутренней переменной, грубо говоря). Прошивка была настроена на пентагон, т.к. фирменный режим был не закончен. Поэтому после экспериментов с памятью, я решил и попробовать доделать фирменный режим. с медленной памятью, 69888 тактами и 312 строками, как в оригинале.

    В фирменном спеке для торможения проца используется сигнал CLK процессора, не /wait, как в большинстве наших машинках, поэтому как минимум надо доделать это торможение в прошивке карабаса. В этом направлении я и начал искать. На нашем форуме были эксперименты по добавлению медленной памяти в Ленинград-1. Этим занимались ZST и Ratibor. За что им отдельная благодарность! У ребят результат был положительным и я решил попробовать внедрить схему доработки медленной памяти в прошивку ПЛИС. Схема была еще немного модернизирована ZST и внедрена в Ленинград-2012. Нужный узел есть на третьей странице схемы Ленинград-2012, в правом верхнем углу.. Попробовал и впринципе получилось как-то так:

    Пока попробовал только на этих программах, сегодня вечером еще проверю тесты. Результаты работы выложу вечерком. А пока...

    Чтобы поменять режим работы, с пентагона на фирменный, нужно в исходниках для signal sync_mode: std_logic_vector(1 downto 0) := "01"; вместо 01 поставить 00. Часть, отвечающая за торможение частоты проца ниже. сильно не пинать, код писался для проверки идеи и схемы, поэтому еще сырой совсем. кто сделает красивше, только буду рад. закоментируем код для CLK_CPU и вставляем вот этот кусок:

    VHDL-Код


    Обьявляем:
    Код:
    	signal z80_clk      : std_logic := '0';
    	signal page_cont    : std_logic;
    	signal block_reg    : std_logic;
    	signal count_block  : std_logic;

    Код:
    	process( z80_clk )
    	begin
    
    		if z80_clk'event and z80_clk = '1' then
    			if n_mREQ='0' or (a(0)='0' and n_iORQ='0')then
    					block_reg <='0';
    			else
    					block_reg <= '1';
    			end if;
    		end if;     
    	end process;
    
    	page_cont <= '1' when (a(0)='0' and n_iORQ='0') or ram_page="101" else '0';
    	count_block <= not (chr_col_cnt(2) and hor_cnt(0));
    
    
    	process( CLK14 )
    	begin
    	-- rising edge of CLK14
    		if CLK14'event and CLK14 = '1' then
    			if page_cont='1' and paper='0' and block_reg='1' and count_block='1' and sync_mode="00" then
    				z80_clk <= '0';
    			else
    				z80_clk <= chr_col_cnt(0);
    			end if;
    		end if;     
    	end process;
    
    
    CLK_CPU <= z80_clk;
    [свернуть]


    и еще вопрос - может кто-то еще посоветует какие-нибуть программы для проверки фирменных машин, кроме тех, что выше по ссылке. и да, я пока проверял для 48кб, по какому стандарту оно работает из 128кб машин я пока не в курсе. сегодня буду проверять дальше.
    Последний раз редактировалось solegstar; 13.09.2017 в 20:47. Причина: кое-что добавил и исправил
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

Страница 24 из 78 ПерваяПервая ... 202122232425262728 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 506
    Последнее: 15.09.2023, 02:34
  2. 128-48
    от ZX_NOVOSIB в разделе Разное
    Ответов: 10
    Последнее: 05.03.2016, 17:22
  3. Продам Composite-128(Kay-128) с BDI
    от _Ratibor_ в разделе Барахолка (архив)
    Ответов: 7
    Последнее: 08.08.2011, 14:22
  4. Куплю Кворум 128, либо Пентагон 128
    от IL_DECAMERON в разделе Барахолка (архив)
    Ответов: 5
    Последнее: 13.03.2011, 18:35
  5. Продам фирменные Speccy : 128+ и 128 +3 + divid + много всего ....
    от Zerios в разделе Барахолка (архив)
    Ответов: 12
    Последнее: 09.12.2010, 00:19

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •