Важная информация

User Tag List

Страница 26 из 78 ПерваяПервая ... 222324252627282930 ... ПоследняяПоследняя
Показано с 251 по 260 из 775

Тема: Karabas-128

  1. #251
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    667
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от shurik-ua Посмотреть сообщение
    а как вы порт 0xFE готовите ? - с дешифрацией полного младшего байта адреса или только его бит 0 ?
    если только нулевой бит - то может и влезет.
    Только 0-й бит.
    Но, как показало исследование, мой безголовый quartus что-то не то делает
    Безголовый - всмысле стоит в виртуалке под linux'ом, по ssh из makefile дергаю только его консольные команды, типа quartus_asm, quartus_fit и т.п.

    Результат


    quartus_sh --prepare -f "MAX7000S" -t karabas_128 karabas_128_revA
    Info: *******************************************************************
    Info: Running Quartus II 32-bit Shell
    Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
    Info: Copyright (C) 1991-2013 Altera Corporation. All rights reserved.
    Info: Your use of Altera Corporation's design tools, logic functions
    Info: and other software and tools, and its AMPP partner logic
    Info: functions, and any output files from any of the foregoing
    Info: (including device programming or simulation files), and any
    Info: associated documentation or information are expressly subject
    Info: to the terms and conditions of the Altera Program License
    Info: Subscription Agreement, Altera MegaCore Function License
    Info: Agreement, or other applicable license agreement, including,
    Info: without limitation, that your use is for the sole purpose of
    Info: programming logic devices manufactured by Altera and sold by
    Info: Altera or its authorized distributors. Please refer to the
    Info: applicable agreement for further details.
    Info: Processing started: Thu Sep 14 09:32:19 2017
    Info: Command: quartus_sh --prepare -f MAX7000S -t karabas_128 karabas_128_revA
    Info: Quartus(args): -f MAX7000S -t karabas_128 karabas_128_revA
    Info: /vagrant/altera/13.0sp1/quartus/common/tcl/internal/qsh_prepare.tcl version #1
    Info (125061): Changed top-level design entity name to "karabas_128"
    Info (23030): Evaluation of Tcl script /vagrant/altera/13.0sp1/quartus/common/tcl/internal/qsh_prepare.tcl was successful
    Info: Quartus II 32-bit Shell was successful. 0 errors, 0 warnings
    Info: Peak virtual memory: 119 megabytes
    Info: Processing ended: Thu Sep 14 09:32:29 2017
    Info: Elapsed time: 00:00:10
    Info: Total CPU time (on all processors): 00:00:05
    cat revA >> karabas_128_revA.qsf
    quartus_sh --determine_smart_action karabas_128_revA > smart.log
    echo done > asm.chg
    echo done > fit.chg
    quartus_map --read_settings_files=on --source=karabas_128.vhd karabas_128_revA
    Info: *******************************************************************
    Info: Running Quartus II 32-bit Analysis & Synthesis
    Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
    Info: Copyright (C) 1991-2013 Altera Corporation. All rights reserved.
    Info: Your use of Altera Corporation's design tools, logic functions
    Info: and other software and tools, and its AMPP partner logic
    Info: functions, and any output files from any of the foregoing
    Info: (including device programming or simulation files), and any
    Info: associated documentation or information are expressly subject
    Info: to the terms and conditions of the Altera Program License
    Info: Subscription Agreement, Altera MegaCore Function License
    Info: Agreement, or other applicable license agreement, including,
    Info: without limitation, that your use is for the sole purpose of
    Info: programming logic devices manufactured by Altera and sold by
    Info: Altera or its authorized distributors. Please refer to the
    Info: applicable agreement for further details.
    Info: Processing started: Thu Sep 14 09:33:13 2017
    Info: Command: quartus_map --read_settings_files=on --source=karabas_128.vhd karabas_128_revA
    Warning (20028): Parallel compilation is not licensed and has been disabled
    Info (12021): Found 2 design units, including 1 entities, in source file karabas_128.vhd
    Info (12022): Found design unit 1: karabas_128-rtl
    Info (12023): Found entity 1: karabas_128
    Info (12127): Elaborating entity "karabas_128" for the top level hierarchy
    Warning (10540): VHDL Signal Declaration warning at karabas_128.vhd(126): used explicit default value for signal "sync_mode" because signal was never assigned a value
    Info (19000): Inferred 1 megafunctions from design logic
    Info (19001): Inferred lpm_counter megafunction (LPM_WIDTH=5) from the following logic: "invert_rtl_0"
    Info (278001): Inferred 2 megafunctions from design logic
    Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add0"
    Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add2"
    Info (12130): Elaborated megafunction instantiation "lpm_counter:invert_rtl_0"
    Info (12133): Instantiated megafunction "lpm_counter:invert_rtl_0" with the following parameter:
    Info (12134): Parameter "LPM_WIDTH" = "5"
    Info (12134): Parameter "LPM_DIRECTION" = "UP"
    Info (12134): Parameter "LPM_TYPE" = "LPM_COUNTER"
    Info (12130): Elaborated megafunction instantiation "lpm_add_sub:Add0"
    Info (12133): Instantiated megafunction "lpm_add_sub:Add0" with the following parameter:
    Info (12134): Parameter "LPM_WIDTH" = "6"
    Info (12134): Parameter "LPM_DIRECTION" = "ADD"
    Info (12134): Parameter "LPM_REPRESENTATION" = "UNSIGNED"
    Info (12134): Parameter "ONE_INPUT_IS_CONSTANT" = "YES"
    Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder", which is child of megafunction instantiation "lpm_add_sub:Add0"
    Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder|a_csnbufferflow_node", which is child of megafunction instantiation "lpm_add_sub:Add0"
    Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder|a_csnbuffer:result_node", which is child of megafunction instantiation "lpm_add_sub:Add0"
    Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder|addcore:adder[0]", which is child of megafunction instantiation "lpm_add_sub:Add0"
    Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder|addcore:adder[0]|a_csnbufferflow_node", which is child of megafunction instantiation "lpm_add_sub:Add0"
    Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder|addcore:adder[0]|a_csnbuffer:result_node", which is child of megafunction instantiation "lpm_add_sub:Add0"
    Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:result_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0"
    Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:carry_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0"
    Info (13014): Ignored 14 buffer(s)
    Info (13019): Ignored 14 SOFT buffer(s)
    Info (21057): Implemented 218 device resources after synthesis - the final resource count might be different
    Info (21058): Implemented 33 input pins
    Info (21059): Implemented 25 output pins
    Info (21060): Implemented 22 bidirectional pins
    Info (21063): Implemented 128 macrocells
    Info (21073): Implemented 10 shareable expanders
    Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 2 warnings
    Info: Peak virtual memory: 350 megabytes
    Info: Processing ended: Thu Sep 14 09:33:41 2017
    Info: Elapsed time: 00:00:28
    Info: Total CPU time (on all processors): 00:00:14
    echo done > fit.chg
    quartus_fit --part=EPM7128STC100-15 --read_settings_files=on karabas_128_revA
    Info: *******************************************************************
    Info: Running Quartus II 32-bit Fitter
    Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
    Info: Copyright (C) 1991-2013 Altera Corporation. All rights reserved.
    Info: Your use of Altera Corporation's design tools, logic functions
    Info: and other software and tools, and its AMPP partner logic
    Info: functions, and any output files from any of the foregoing
    Info: (including device programming or simulation files), and any
    Info: associated documentation or information are expressly subject
    Info: to the terms and conditions of the Altera Program License
    Info: Subscription Agreement, Altera MegaCore Function License
    Info: Agreement, or other applicable license agreement, including,
    Info: without limitation, that your use is for the sole purpose of
    Info: programming logic devices manufactured by Altera and sold by
    Info: Altera or its authorized distributors. Please refer to the
    Info: applicable agreement for further details.
    Info: Processing started: Thu Sep 14 09:33:54 2017
    Info: Command: quartus_fit --part=EPM7128STC100-15 --read_settings_files=on karabas_128_revA
    Info: qfit2_default_script.tcl version: #1
    Info: Project = karabas_128_revA
    Info: Revision = karabas_128_revA
    Warning (20028): Parallel compilation is not licensed and has been disabled
    Info (119006): Selected device EPM7128STC100-15 for design "karabas_128_revA"
    Warning (15705): Ignored locations or region assignments to the following nodes
    Warning (15706): Node "TCK" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TDI" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TDO" is assigned to location or region, but does not exist in design
    Warning (15706): Node "TMS" is assigned to location or region, but does not exist in design
    Warning (163076): Macrocell buffer inserted after node "z80_clk"
    Error (163105): Cannot route source node "block_reg" of type max_mcell to destination node "z80_clk~11" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 123
    Error (163105): Cannot route source node "attr[0]" of type max_mcell to destination node "attr[0]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
    Error (163105): Cannot route source node "attr[0]" of type max_mcell to destination node "attr_r[0]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
    Error (163105): Cannot route source node "attr[1]" of type max_mcell to destination node "attr[1]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
    Error (163105): Cannot route source node "attr[1]" of type max_mcell to destination node "attr_r[1]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
    Error (163105): Cannot route source node "attr[2]" of type max_mcell to destination node "attr[2]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
    Error (163105): Cannot route source node "attr[2]" of type max_mcell to destination node "attr_r[2]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
    Error (163105): Cannot route source node "attr[5]" of type max_mcell to destination node "attr[5]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
    Error (163105): Cannot route source node "attr[5]" of type max_mcell to destination node "attr_r[5]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
    Error (163105): Cannot route source node "shift[2]" of type max_mcell to destination node "shift[2]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
    Error (163105): Cannot route source node "shift[2]" of type max_mcell to destination node "chr_col_cnt[2]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
    Error (163105): Cannot route source node "shift[4]" of type max_mcell to destination node "shift[4]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
    Error (163105): Cannot route source node "shift[4]" of type max_mcell to destination node "port_7ffd[5]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
    Error (163105): Cannot route source node "attr_r[3]" of type max_mcell to destination node "VIDEO_B~reg0" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 370
    Error (163105): Cannot route source node "shift_r[0]" of type max_mcell to destination node "shift_r[0]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 370
    Error (163105): Cannot route source node "shift_r[0]" of type max_mcell to destination node "shift_r[1]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 370
    Error (163105): Cannot route source node "border_attr[2]" of type max_mcell to destination node "border_attr[2]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 398
    Error (163105): Cannot route source node "border_attr[2]" of type max_mcell to destination node "VIDEO_G~17" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 398
    Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "lpm_counter:invert_rtl_0|dffs[0]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
    Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "paper_r" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
    Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "VIDEO_VSYNC~4" of type max_sexp File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
    Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "VIDEO_VSYNC~5" of type max_sexp File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
    Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "VIDEO_SYNC~15" of type max_sexp File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
    Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "VIDEO_SYNC~24" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
    Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "VIDEO_VSYNC~13" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
    Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "VIDEO_SYNC~31" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
    Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "ver_cnt[4]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
    Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "ver_cnt[5]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
    Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "N_INT~11" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
    Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "z80_clk~11" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
    Error (163105): Cannot route source node "VIDEO_SYNC~24" of type max_mcell to destination node "VIDEO_SYNC~reg0" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 48
    Error (163106): Cannot route source node "VIDEO_R~en" of type max_mcell to the OE port of destination node "VIDEO_R" of type max_io File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 324
    Error (163106): Cannot route source node "VIDEO_R~en" of type max_mcell to the OE port of destination node "VIDEO_G" of type max_io File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 324
    Error (163106): Cannot route source node "VIDEO_R~en" of type max_mcell to the OE port of destination node "VIDEO_B" of type max_io File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 324
    Error (163105): Cannot route source node "VIDEO_R~en" of type max_mcell to destination node "VIDEO_R~en" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 324
    Error (163104): Can't place node "VIDEO_SYNC~31" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 48
    Error (163000): Cannot find fit.
    Error: Quartus II 32-bit Fitter was unsuccessful. 37 errors, 7 warnings
    Error: Peak virtual memory: 285 megabytes
    Error: Processing ended: Thu Sep 14 09:34:13 2017
    Error: Elapsed time: 00:00:19
    Error: Total CPU time (on all processors): 00:00:11
    [свернуть]

  2. #252
    Master
    Регистрация
    24.05.2005
    Адрес
    г. Запорожье, Украина
    Сообщений
    992
    Спасибо Благодарностей отдано 
    571
    Спасибо Благодарностей получено 
    364
    Поблагодарили
    239 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    попробуй эту строчку
    Код:
    port_access <= '1' when N_IORQ = '0' and N_RD = '0' and N_M1 = '1' and BUS_N_IORQGE /= '1' else '0';
    заменить на
    Код:
    port_access <= '1' when N_IORQ = '0' and N_RD = '0' and N_M1 = '1' and BUS_N_IORQGE = '0' else '0';
    так как даже если сигнал BUS_N_IORQGE будет в Z-состоянии - то в плис он попадёт как 0 или 1 в зависимости от того куда подтянут резистор на входе.
    Последний раз редактировалось shurik-ua; 14.09.2017 в 12:28.

  3. #253
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    667
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    UPD: нашел багу в своем Makefile.
    В quartus_sh --prepare не передавался device (ключик -d), поэтому там вставлялось в qsf-файл DEVICE "Auto".
    Поправил, все заплясало-заиграло

  4. #254
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,731
    Спасибо Благодарностей отдано 
    355
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    еще немного тестов:
    для ULA48


    для ULA128

    как видно у нас очень похоже на ULA48, но вот с задержками по тактам надо бы разбираться, это те, которые 6-5-4-3-2-1 и т.д. в определенный момент на экране. по ULA128 - оно и понятно, что будет сдвинуто, т.к. в 128 машине тактов не 69888, а 70,5 тыс. надо бы описание экранной области фирменного 128кб спека раздобыть.
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

  5. #255
    Master Аватар для NiKa
    Регистрация
    10.02.2015
    Адрес
    г. Екатеринбург
    Сообщений
    789
    Спасибо Благодарностей отдано 
    45
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    27 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вот спасибо, порадовали! минута на перепрошивку и Карабас заиграл новыми красками, еще немного усилий и можно будет получить Snow Effect.
    З.Ы. Перестал запускаться Robocop 3
    Последний раз редактировалось NiKa; 14.09.2017 в 19:09.

    Моё железо

    ZX Spectrum 48K issue 2/3/4A/4B/4S/6A
    ZX Spectrum +2
    ZX Spectrum +3
    Harlequin 48K
    Harlequin 128K
    Karabas-128
    [свернуть]

  6. #256
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,731
    Спасибо Благодарностей отдано 
    355
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от NiKa Посмотреть сообщение
    З.Ы. Перестал запускаться Robocop 3
    Может ему тактов не хватает и у него стоит какая-то автоопределялка модели. в пенте ведь больше тактов, чем в оригинале 128кб на 1000 почти, а тут в фирменном под 48кб наоборот получается... 69888.
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

  7. #256
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #257
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    667
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Закоммитил в https://github.com/andykarpov/karabas-128 Makefile для автоматической сборки и собранные pof для режима pentagon и classic (тот режим, который имеется на сегодняшний день, а-ля 48к с эмуляцией медленной памяти от solegstar).

  9. #258
    Master Аватар для NiKa
    Регистрация
    10.02.2015
    Адрес
    г. Екатеринбург
    Сообщений
    789
    Спасибо Благодарностей отдано 
    45
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    27 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    andykarpov, В чем отличие последнего pof для режима pentagon от предыдущих выложенных вами pof?

    Моё железо

    ZX Spectrum 48K issue 2/3/4A/4B/4S/6A
    ZX Spectrum +2
    ZX Spectrum +3
    Harlequin 48K
    Harlequin 128K
    Karabas-128
    [свернуть]

  10. #259
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    667
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от NiKa Посмотреть сообщение
    andykarpov, В чем отличие последнего pof для режима pentagon от предыдущих выложенных вами pof?
    Принципиально - не должно быть каких-либо видимых отличий.
    Собрано на базе правок в исходниках от solegstar, там помимо логики переключения режимов есть несколько косметических правок.
    А что, что-то не работает ?

  11. #260
    Master Аватар для NiKa
    Регистрация
    10.02.2015
    Адрес
    г. Екатеринбург
    Сообщений
    789
    Спасибо Благодарностей отдано 
    45
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    27 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Да я как раз и не пробовал, просто сравнив файлы увидел, что они отличаются поэтому и спросил.
    Мне вообще идея применения Альтеры понравилась тем, что можно просто перепрошивкой менять много чего, и вот вопрос: у фирменных машин раздельное поле памяти и тактовая частота чуть выше, будет ли это препятствием для достижения большей совместимости на данной версии вашей платы?

    Моё железо

    ZX Spectrum 48K issue 2/3/4A/4B/4S/6A
    ZX Spectrum +2
    ZX Spectrum +3
    Harlequin 48K
    Harlequin 128K
    Karabas-128
    [свернуть]

Страница 26 из 78 ПерваяПервая ... 222324252627282930 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 506
    Последнее: 15.09.2023, 02:34
  2. 128-48
    от ZX_NOVOSIB в разделе Разное
    Ответов: 10
    Последнее: 05.03.2016, 17:22
  3. Продам Composite-128(Kay-128) с BDI
    от _Ratibor_ в разделе Барахолка (архив)
    Ответов: 7
    Последнее: 08.08.2011, 14:22
  4. Куплю Кворум 128, либо Пентагон 128
    от IL_DECAMERON в разделе Барахолка (архив)
    Ответов: 5
    Последнее: 13.03.2011, 18:35
  5. Продам фирменные Speccy : 128+ и 128 +3 + divid + много всего ....
    от Zerios в разделе Барахолка (архив)
    Ответов: 12
    Последнее: 09.12.2010, 00:19

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •