Важная информация

User Tag List

Страница 1 из 81 12345 ... ПоследняяПоследняя
Показано с 1 по 10 из 804

Тема: Pentagon-1024/4096 от Northwood

  1. #1
    Master Аватар для Northwood
    Регистрация
    06.01.2011
    Адрес
    г. Днепр, Украина
    Сообщений
    804
    Спасибо Благодарностей отдано 
    43
    Спасибо Благодарностей получено 
    198
    Поблагодарили
    100 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию Pentagon-1024/4096 от Northwood

    Всем привет.

    В этой теме я хочу собрать вместе все свои доработки компьютера Pentagon 1024 1.4. Тема будет в следующем формате: Каждый пост будет описывать какую-нибудь одну очередную доработку, в 1-м посте при этом будет постепенно вырисовываться новая полная готовая схема Pentagon. Буду рад выслушать все предложения и замечания. В итоге получится новый Pentagon со следующими возможностями:

    Подробней:


    1) Полная совместимость, в том числе и по положению INT, с оригинальным Pentagon-128. Но с возможностью переключения положения сигнала INT на 16 строк вниз, с целью исключения мерцания спрайтов на некоторых фирменных играх.

    2) Формирование в видео полностью стандартных синхро-импульсов и импульсов гашения, которые гарантируют нормальную работу с любым телевизором, а так же нормальную работу PAL/NTSC кодера.

    3) Наличие PAL/NTSC кодера на микросхеме CXA1645.

    4) Память будет 4 Мб с помощью 1 модуля SIMM 30 pin. Будет возможность установки 1 модуля SIMM на 1 Мб, на плате будет соответствующий джампер.

    Вначале я хотел сделать ещё вариант установки двух модулей SIMM 30 pin по 1 Мб, но в своё время был вынужден отказаться от идеи, т.к. она не подружилась с турбированием ОЗУ на 7 МГц. Переключение модулей я делал стандартным способом - коммутацией сигнала CAS, но минимальной задержки этого сигнала оказалось достаточно, чтобы компьютер не заработал вообще. Хотя ещё оставалось конечно пару вариантов - коммутацию CAS я тогда сделал на 1531КП11, а нужно было попробовать на 1531ЛА3.

    Расширении памяти будет за счёт дополнительных двух битов порта #7FFD - бит 6 и бит 5 (вместо блокировки). В результате получаем следующую раскладку верхней памяти:

    #1FFD бит 4 - 256 Кб
    #1FFD бит 7 - 512 Кб
    #7FFD бит 7 - 1024 Кб
    #7FFD бит 6 - 2048 Кб
    #7FFD бит 5 - 4096 Кб.

    Таким образом, для существующего ПО получается одновременная поддержка сразу 3-х стандартов расширения до 1 Мб:

    Pentagon-1024:
    #7FFD бит 7 - 256 Кб
    #7FFD бит 6 - 512 Кб
    #7FFD бит 5 - 1024 Кб

    KAY-1024:
    #1FFD бит 4 - 256 Кб
    #1FFD бит 7 - 512 Кб
    #7FFD бит 7 - 1024 Кб

    Scorpion ZS-1024:
    #1FFD бит 4 - 256 Кб
    #7FFD бит 7 - 512 Кб
    #7FFD бит 6 - 1024 Кб

    И даже стандарт расширения памяти Phoenix до 2 Мб:
    #1FFD бит 4 - 256 Кб
    #1FFD бит 7 - 512 Кб
    #7FFD бит 7 - 1024 Кб
    #7FFD бит 6 - 2048 Кб

    Из недостатков:

    Использование бита блокировки расширенной памяти D5 порта #7FFD в качестве расширения ОЗУ приводит к неработоспособности некоторых отдельно взятых фирменных 48к игр. Связано это с тем, что в тех играх авторы щёлкают бит блокировки. Возможно, нужно как-то переключать этот бит D5 на режим блокировки и обратно на расширение ОЗУ. - решено, в BIOS-Setup можно будет задавать опцию, как использовать бит блокировки D5: стандартно блокировать всю верхнюю память или использовать для максимального расширения памяти по стандарту "Пентагон-1024". В последнем варианте работает демка Nedodeno от Alone Coder.

    5) Наличие режима "Plus-3". Используются дополнительные 4 страницы ПЗУ, конфигурация ОЗУ становится такой же, как в фирменном "ZX-Spectrum+3" с поддержкой "стандартного" и "специального" режимов.

    6) В схеме будет узел, который отлавливает команды короткой адресации к портам IN A,(xx), OUT (xx),A, как только процессор прочитает код такой команды, моментально будет заблокирован порт #1FFD, а порт #7FFD будет переведён на мягкую дешифрацию. Как только процессор прочитает из ОЗУ код следующей команды, всё возвращается обратно с доступом всей имеющейся памяти. Это уже давно собрано у меня, и я уже давно забыл, что такое несовместимость таких программ, как INSULT, STS 3.3 и т.д. Для работы этих программ не нужно будет вручную отключать верхнюю память, STS 3.3 можно грузить с RAM-диска.

    7) Память будет обязательно турбирована на 7 МГц. Это позволит:
    а) турбировать Z80 на 7 МГц без WAIT-а, получив 200% производительности;
    б) реализовать дополнительные видеорежимы, требующие в 2 раза больше циклов доступа к ОЗУ видеоконтроллером, не останавливая процессор, например, 16 colors;
    в) турбировать Z80 на 14 МГц с WAIT-ом, получив около 280-295% процентов производительности.

    Из недостатков:

    Компьютер будет работать не со всеми типами процессоров Z80. Но отлично работает с Z84C0020PEC, и их не сложно достать, китайцы до сих пор их продают пачками;

    Возможно, придётся подбирать модули памяти SIMM. Обычно проблем не возникает с 3-чиповыми. В частности, отлично работают Motorolla, Samsung и др. Из всех не захотели работать только Panasonic. А вот 9-чиповые глючат, одни больше, другие меньше. из 7 или 8 разных модулей мне удалось подобрать только 2, которые более-менее нормально работали, но всё равно хуже чем 3-чиповые.

    Сложность в поиске быстрых микросхем логики серии КР1531. К счастью, не весь компьютер нужно собирать на этой серии, а только критичные к задержкам сигналов узлы. Если какие-то микросхемы не удалось найти в серии КР1531, можно поставить импортный аналог серии 74F.

    Из дополнительных недостатков Турбо-14 МГц:
    Плохая совместимость с расширенным видеорежимом "512х192" - мерцание пикселей при большой загрузке процессора - решено, картинка уже без артефактов, больше ничего не мерцает;
    [s]Появляется нестабильность шины данных. Если вытащить всю периферию, то шина данных становится стабильной[s] - частично решено, тесты уже не выявляют нестабильную шину данных, и теперь нестабильность работы в Турбо-14 МГц проявляется только при установленной классической версией карты General Sound и только в iS-DOS.

    8) Шина Nemo-Bus v1.2m будет содержать 3 слота.

    9) Будет 1 слот ZX-Bus Plus-3. Конструктивно его можно реализовать с помощью двух линеек по 28 шт штырьков, к которым нужно будет припаять гребёнку контактов. Спасибо Djoni за подсказку с примером.

    10) Плюс 1 слот ISA специально для модема, включенного по схеме Кондратьева, полный вариант с прерыванием от модема IRQ4. Использовать можно, к примеру, в iS-DOSе, можно будет с ПЦ и обратно перекачивать файлы размером больше дискеты, не придётся дёргать винчестер и подключать его к ПЦ. Испытал на себе, это удобно. Сигнал IORQG для модема будет последним в приоритете после 4-го слота ZX-Bus.

    11) Наличие мышки PS/2, контроллер на Attiny2313, с буфером, без WAITа.

    12) Наличие контроллера PS/2 клавиатуры, на ATmega 48PA-PU, без WAITа, возможность подключения классической механической клавиатуры присутствует.

    [B]13) Наличие порта RS-232 с использованием музыкального сопроцессора AY и микросхемы MAX3232.

    14) Турбирование контроллера дисковода КР1818ВГ93 по правильной схеме, с учётом всех исправлений описанных журнале "Спектрофон" № 12 и 14.

    15) NemoIDE контроллер.

    16) Доступ к 0-й странице ПЗУ с прошивкой Gluk Reset Service.

    17) Наличие порта атрибутов #FF. Не такой вариант, как я предлагал несколько лет назад. Схема, опубликованная N лет назад оказалась абсолютно бесполезной, кроме обнаружения в тестах, она ничего не давала - фирменные игры, например, фирменный ARKANOID-1, не шли, и связано это было с отсутствием стробирования порта сигналом бордюра.

    У меня есть 2 проверенных варианта схемы порта #FF.
    а) более простая схема на 1 микросхеме и 8 резисторах, и она наиболее точно отражает фирменный порт #FF, т.е. без дешифрации порта, когда порт доступен по любому незадействованному номеру порта.

    б) на 3-х микросхемах и без резисторов, с точной дешифрацией порта, проверяются все 8 младших бит. Я себе собрал именно этот вариант, и не заметил разницы в поведении фирменной игры ARKANOID-1.

    18) Теневое ОЗУ ёмкостью 64 Кб. Будет выполнять 2 функции - в качестве стандартного теневого ОЗУ, доступное через чтение из портов #7B, #FB, ёмкостью 16 или 32 Кб, и для эмуляции всех 4х страниц ПЗУ:

    Страница 0 - стандартное теневое ОЗУ через IN A,(#FB)/IN A,(#7B), либо эмуляция 0-й страницы ПЗУ Gluk Reset Service;
    Страница 1 - только эмуляция 1-й страницы ПЗУ TR-DOS;
    Страница 2 - расширение стандартного теневого ОЗУ до 32Кб, либо эмуляция 2-й страницы ПЗУ Menu-128;
    Страница 3 - только эмуляция 3-й страницы ПЗУ Basic-48.

    Порт управления теневым ОЗУ - #FD37.

    19) Микросхема CMOS-часиков по схеме MrGluk. Можно будет установить как Dallas, так и МС146818 или её аналог 512ВИ1. Тактирование последних двух будет от экономичной микросхемы MC14069. Внешняя батарейка - CR2032, которая будет использоваться для любого варианта CMOS, в том числе и для Dallas (вряд ли сейчас уже возможно найти Dallas с живой батарейкой внутри, её в любом случае придётся выковыривать). Порты для управления CMOS - #BFF7, #DFF7 и #EFF7.

    20) 9 расширенных видеорежимов (в скобках кол-во циклов доступа к ОЗУ видеоконтроллером за 1 адрес):

    0) Стандартный видеорежим. 256х192, атрибут на знакоместо 8х8. Область пикселей - #4000 - #57FF, область атрибутов - #5800 - #5AFF (2 цикла);

    1) Аппаратный мультиколор. Атрибут цвета на байт 8х1. Область пикселей - #4000 - #57FF, область атрибутов - #6000 - #77FF (2 цикла);

    2) 512х192 пикселей монохромный, можно включить любой цвет текста. Область пикселей нечётного знакоместа - #4000 - #57FF, область пикселей чётного знакоместа - #6000 - #77FF (4 цикла, т.к. поддерживается цветной вариант);

    3) 512х192 пикселей, цветной. Атрибут на узкое знакоместо 8х8. Область пикселей нечётного знакоместа - #4000 - #57FF, область пикселей чётного знакоместа - #6000 - #77FF, область атрибутов нечётного знакоместа - #5800 - #5AFF, область атрибутов чётного знакоместа - #7800 - #7AFF (4 цикла);

    4) 512х192 пикселей, мультиколорный. Атрибут на байт 8х1. Область пикселей нечётного знакоместа - #4000 - #57FF, область пикселей чётного знакоместа - #6000 - #77FF, область атрибутов нечётного знакоместа - #C000 - #D7FF 4-й страницы, область атрибутов чётного знакоместа - #E000 - #F7FF 4-й страницы (4 цикла);

    5) 16 colors - каждый пиксель своим цветом. Экран состоит из 4-х экранных областей: #4000 - #57FF, #6000 - #77FF, #C000 - #D7FF 4-й страницы, #E000 - #F7FF 4-й страницы. Каждый байт данных отображает только 2 пикселя, каждая экранная область отображает только свои 2 пикселя из знакоместа, 4 области составляют целое знакоместо (4 цикла);

    6) 384х288 пикселей, полноэкранный режим без бордюра. (2 цикла);

    7) FlashColor. Внутри каждого цветного атрибута, в котором активирован 7-й бит флеш, перемешиваются цвета точек и фона и выводятся в качестве цвета точек, цвет фона при этом чёрный. Данный видеорежим можно совмещать с видережимами № 0, 1, 3, 4, 6 и 8.

    8) Аппаратный GigaScreen, автоматический. Когда программа просмотра гигаскрин-картинок начинает переключать по прерываниям основной / дополнительный экран, автоматически включается данный видеорежим и экраны переключаются от строки к строке, а от кадра к кадру меняется фаза переключения на противоположную. Как только программное переключение экранов прекратилось, аппаратный гигаскрин автоматически отключается. Мерцание на гигаскрин картинках становится менее заметно, ничего вручную переключать не нужно.

    Видеорежимы "Аппаратный мультиколор", "512х192", "16 colors" и "384х288" включаются стандартным портом #EFF7.
    Видеорежим "512х192" из монохромного в цветной или обратно переключается дополнительным портом #FE37, управляемый из BIOS.
    Видеорежим "512х192" мультиколорный включается, если через #EFF7 включить одновременно режимы "Мультиколор" и "512х192", а так же включить цвет через порт #FE37.

    21) BIOS с тестированием ОЗУ и Setup-ом. Будет установлена дополнительная микросхема ПЗУ ёмкостью 16 или 32 Кбайта. На данный момент задействовано только 16 Кбайт. Но в будущем, если этого не хватит, можно задействовать все 32 Кбайт. Порт для включения ПЗУ BIOS и для выбора страницы ПЗУ BIOS - #FC32.

    В BIOS-Setup можно:
    1. Выставлять текущую дату и время;
    2. Настроить расширенные видеорежимы:
    а) выбрать цвет текста для монохромного видеорежима 512х192;
    б) включить или отключить цвет для видеорежима 512х192;
    в) включить или отключить флешколор;
    г) включить или отключить автоматический аппаратный гигаскрин.
    3. Выбрать желаемые порты расширения памяти - #7FFD, #DFFD, #1FFD с возможность их комбинирования или вообще отключить, оставив только 128 КБ.
    4. Выбрать, какой мегабайт из 4-х доступных отдавать карте расширения, при запросе шины.
    5. Настроить теневое ОЗУ - включать и отключать эмуляцию ПЗУ для каждой из 4-х страниц;
    6. Настроить поведение Спектрума при сбросе:
    а) Выход в Gluk Reset Service;
    б) Выход в Menu-128;
    в) Выход в TR-DOS;
    г) Выход в TR-DOS без очистки ОЗУ;
    д) Выход в Basic-48;
    е) Загрузка с HDD Master;
    ж) Загрузка с HDD Slave;
    з) При выборе варианта загрузки с HDD, можно выбирать варианты, что именно загружать:
    DNA-OS;
    iS-DOS;
    пользовательский вариант номера блока LBA.

    22) Конструктивно всё это будет собрано на двух платах: Материнская плата будет иметь размер стандартного ATX, что позволит её установить в стандартный корпус ATX. Вторая плата будет иметь размеры 170x127 мм и будет крепиться к материнской плате вверху и на ней будут располагаться 5 внешних коннекторов: PS/2 - мышка, DB15 (female) - клавиатура, DB9 (female) - кэмпстон джойстик, SCART и S-Video. Остальные коннекторы - 3 шт. RCA (видео и стереозвук), 3 шт. мини-джек (аудио-выход, магнитофонные вход и выход), DB9 (male - порт RS-232) и DB25 (принтер) придётся разместить на планках.

    [свернуть]


    Итак, начинаю постепенно составлять принципиальную схему в PCAD-2006, наконец все барьеры, которые ранее препятствовали мне освоить эту программу, преодолены.

    Я бы мог и в DipTrace всё сделать, но:
    а) лицензия меня ограничивает на 1000 выводов на всю схему, чего будет недостаточно для данного проекта;
    б) DipTrace не умеет во время разводки платы менять местами альтернативные элементы цифровых микросхем и менять местами альтернативные выводы, всё это делать приходилось самому;
    в) большинство заводов, изготавливающие платы, принимают файлы проекта именно в PCAD.

    Начало положено, и уже готов тактовый генератор, формирующий 3 тактовые частоты процессора - 14, 7 и 3.5 МГц, а так же сигналы RAS и CAS для работы ОЗУ.
    По мере готовности следующих узлов, файл с готовой схемой буду менять на новый.


    Разработка окончена, принципиальная схема и изображения печатных плат (на данный момент материнская плата имеет ревизию 7.4, верхняя плата - 4.2:

    Скрытый текст

    Материнская плата:



    Верхняя плата:



    Краевой разъём для шины ZX-Bus+3:


    К нижней части нужно припаять 2 ряда штырьков:


    Райзер платы для шины NemoBus:

    [свернуть]


    Список компонентов для сборки компьютера Пентагон-4096 (список обновлён под материнскую плату ревизии 7.3 и верхнюю плату ревизии 4.2):

    Серию КР1531 (74F) менять на 1533 (74ALS) от нежелательно до категорически нельзя. Наоборот можно.
    Серию К555 (74LS) можно заменить на КР1531 (74F), в контроллере NemoIDE это даже будет лучше.
    Серию КР1533 (74ALS) можно заменить на КР1531 (74F), но выигрыша не будет никакого, т.к. в тех узлах схемы, где я применил КР1533, её скорости хватает с головой.
    Серию КР1531 (74F) можно менять на К531 (74S) только в крайних случаях, если не удалось найти КР1531 (74F). Работает К531 так же, по скорости примерно тоже самое, но ток потребления и нагрев в разы больше.


    Скрытый текст

    Код:
    Резисторы:
    
    МЛТ-0.125:
    
    1 Ом		1шт.
    10 Ом		1шт.
    20 Ом		1шт.
    68 Ом		4шт.
    75 Ом		4шт.
    110 Ом		3шт.
    120 Ом		1шт.
    150 Ом		1шт.
    200 Ом		1шт.
    220 Ом		3шт.
    330 Ом		7шт.
    430 Ом		1шт.
    470 Ом		6шт.
    510 Ом		1шт.
    560 Ом		1шт.
    620 Ом		1шт.
    680 Ом		23шт.
    820 Ом		2шт.
    1 кОм		17шт.
    1.1 кОм		3шт.
    1.3 кОм		1шт.
    1.5 кОм		1шт.
    1.6 кОм		3шт.
    1.8 кОм		1шт.
    2.2 кОм		4шт.
    2.4 кОм		1шт.
    3 кОм		2шт.
    3.6 кОм		1шт.
    4.3 кОм		1шт.
    4.7 кОм		4шт.
    6.8 кОм		17шт.
    7.5 кОм		1шт.
    10 кОм		37шт.
    16 кОм		1шт.
    20 кОм		1шт.
    22 кОм		9шт.
    24 кОм		10шт.
    27 кОм		3шт.
    30 кОм		1шт.
    47 кОм		8шт.
    100 кОм		5шт.
    2 МОм		1шт.
    4.7 МОм		2шт.
    
    Подстроечные:
    
    1 кОм		3шт.
    2.2 кОм		1шт.
    
    Конденсаторы:
    
    Керамические:
    
    10 пф		1шт.
    20 пф		2шт.
    27 пф		1шт.
    33 пф		5шт.
    47 пф		1шт.
    100 пф		4шт.
    200 пф		1шт.
    300 пф		3шт.
    470 пф		1шт.
    1 нф		1шт.
    6.8 нф		1шт.
    10 нф		4шт.
    22 нф		1шт.
    0.1 мкф		119шт.
    0.22 мкф	2шт.
    
    Электролитические:
    
    1 мкф 10в	1шт.
    4.7 мкф 10в	1шт.
    10 мкф 6.3в	2шт.
    10 мкф 10в	14шт.
    10 мкф 16в	6шт.
    47 мкф 10в	2шт.
    100 мкф 6.3в	1шт.
    100 мкф 16в	2шт.
    220 мкф 6.3в	4шт.
    470 мкф 6.3в	1шт.
    1000 мкф 6.3в	2шт.
    2200 мкф 6.3в	1шт.
    
    Дроссели:
    
    100 мкГн	1шт.
    150 мкГн	1шт.
    
    Кварцевые резонаторы:
    
    32.768 кГц	1шт.
    3.58 МГц	1шт.
    4.43 МГц	1шт.
    8 МГц		1шт.
    14 МГц		1шт.
    20 МГц		2шт.
    
    Диоды:
    
    КС156А		1шт.
    1N4148		35шт.
    1N5818		7шт.
    
    Транзисторы:
    
    КТ3102		4шт.
    КТ315Б		2шт.
    BS170		2шт.
    BS250		1шт.
    
    Микросхемы:
    
    Аналоговые:
    
    К554СА3			1шт.
    CXA1645 (CXA2075) 	1шт.
    LM324			1шт.
    LM358			3шт.
    LM7905 			1шт.	(TO220)
    MAX3232			1шт.
    MAX660			1шт.
    TLC7528			2шт.
    
    Цифровые:
    
    Серия К555	(74LS):
    
    К555АП5		(74LS244)	1шт.
    К555АП6		(74LS245)	1шт.
    К555ИР23	(74LS374)	3шт.
    К555ЛН2		(74LS05)	1шт.
    К555ТМ2		(74LS74)	1шт.
    К555ТМ9		(74LS174)	1шт.
    
    Серия КР1531	(74F):
    
    КР1531ИД14	(74F139)	1шт.
    КР1531ИЕ17	(74F169)	2шт.
    КР1531КП2	(74F153)	2шт.
    КР1531КП7	(74F151)	1шт.
    КР1531КП11	(74F257)	6шт.
    КР1531КП12	(74F253)	11шт.
    КР1531КП19	(74F352)	1шт.
    КР1531ЛА3	(74F00)		1шт.
    КР1531ЛА4	(74F10)		1шт.
    КР1531ЛЕ1	(74F02)		1шт.
    КР1531ЛИ1	(74F08)		2шт.
    КР1531ЛИ3	(74F11)		2шт.
    КР1531ЛЛ1	(74F32)		8шт.
    КР1531ЛН1	(74F04)		1шт.
    КР1531ЛП5	(74F86)		2шт.
    КР1531ТМ2	(74F74)		3шт.
    КР1531ТМ8	(74F175)	1шт.
    
    Серия КР1533	(74ALS):
    
    КР1533АГ3	(74ALS123)	1шт.
    КР1533АП5	(74ALS244)	2шт.
    КР1533ИД7	(74ALS138)	6шт.
    КР1533ИЕ5	(74ALS93)	2шт.
    КР1533ИЕ10	(74ALS161)	1шт.
    КР1533ИР16	(74ALS295)	3шт.
    КР1533ИР22	(74ALS373)	6шт.
    КР1533ИР23	(74ALS374)	4шт.
    КР1533ИР35	(74ALS273)	4шт.
    КР1533КП2	(74ALS153)	2шт.
    КР1533КП11	(74ALS257)	2шт.
    КР1533ЛА1	(74ALS20)	3шт.
    КР1533ЛА2	(74ALS30)	5шт.
    КР1533ЛА3	(74ALS00)	3шт.
    КР1533ЛА4	(74ALS10)	1шт.
    КР1533ЛЕ1	(74ALS02)	7шт.
    КР1533ЛЕ4	(74ALS27)	1шт.
    КР1533ЛИ1	(74ALS08)	7шт.
    КР1533ЛИ3	(74ALS11)	3шт.
    КР1533ЛЛ1	(74ALS32)	11шт.
    КР1533ЛН1	(74ALS04)	7шт.
    КР1533ЛП5	(74ALS86)	1шт.
    КР1533ЛП8	(74ALS125)	2шт.
    КР1533ТМ2	(74ALS74)	9шт.
    КР1533ТМ8	(74ALS175)	4шт.
    КР1533ТМ9	(74ALS174)	3шт.
    
    Прочая логика:
    
    К561ИЕ10		1шт.
    74HCT00			1шт.
    MC14069			1шт.
    
    Большие микросхемы:
    
    SIMM 30pin 4MB		1шт.
    GLT751208		1шт.
    27C512			1шт.
    W27C010			1шт.
    КР1818ВГ93		1шт.
    ATtiny13A		1шт.
    ATtiny2313-20PU		1шт.
    ATmega48PA-PU		1шт.
    AY-3-8910 (AY-3-8912) 	1шт.
    HM6818A			1шт.
    Z84C0020PEC		1шт.
    
    Разъёмы:
    
    CR2032			1шт.
    Штырьки 1 ряд		1x55 pin
    Штырьки 2 ряда		2x33 pin
    BH-26			1шт.
    BH-34			1шт.	(FDD)
    BH-40			1шт.	(IDE)
    BH-50			2шт.
    IDC-50			2шт.
    DA15 Female		1шт.
    DE9 Female		1шт.
    DE15 Female		1шт.	(высокой плотности - под монитор)
    NemoBus 2x31pin		3шт.
    Ext ISA			1шт.
    ATX-20Pin		1шт.
    Molex 4pin Male		1шт.
    Mini-DIN-4pin		1шт. 	(S-Video)
    Mini-DIN-6pin x2 	1шт.	(PS/2 клавиатура + мышь)
    RCA-313			1шт. 	(3xRSA вертикальный)
    
    Панельки под микросхемы:
    
    DIP-8			1шт.
    DIP-20 узкий		1шт.
    DIP-24 широкий		1шт.
    DIP-28 узкий		1шт.
    DIP-28 широкий		2шт.
    DIP-32 узкий		1шт.
    DIP-32 широкий		1шт.
    DIP-40 широкий		3шт.
    SIMM 30pin		1шт.
    
    Крепёжные элементы:
    
    Латунные стойки L=6мм	10шт.
    Латунные стойки L=40мм	4шт.
    [свернуть]



    Список желающих получить комплект плат: (оплатил / отправлено / получил)

    1. shurik-ua https://zx-pk.ru/threads/28489-penta...=1#post1060957 (-/-/-)
    2. shurik-ua https://zx-pk.ru/threads/28489-penta...=1#post1067354 (-/-/-)
    3. Ldaborc https://zx-pk.ru/threads/28489-penta...=1#post1060974 (-/-/-)
    4. solegstar https://zx-pk.ru/threads/28489-penta...=1#post1060978 (-/-/-)
    5. Rusazar https://zx-pk.ru/threads/28489-penta...=1#post1060985 (-/-/-)
    6. Dimaz https://zx-pk.ru/threads/28489-penta...=1#post1064509 (-/-/-)
    7. Max Wood (ЛС) (-/-/-)
    8. Prusak (ЛС) (-/-/-)
    9. s_kosorev (ЛС) (-/-/-)
    10. Andyth_ (ЛС на Барахолке) (-/-/-)
    11. ?
    12. ?
    13. ?
    14. Northwood (+/-/-)
    15. Northwood (+/-/-)


    Состояние заказа в JLCPCB: Не заказано.

    Страница проекта:
    https://github.com/AleksandrDneprCity/Pentagon-4096
    Последний раз редактировалось Northwood; 05.07.2020 в 13:21.
    С уважением, Александр

  2. Эти 8 пользователя(ей) поблагодарили Northwood за это полезное сообщение:

    andykarpov (29.06.2020), Prusak (01.07.2020), Rio444 (09.02.2023), Rusazar (03.05.2020), shurik-ua (03.05.2020), SoftFelix (29.02.2020), Trol73 (22.09.2020), USERHOME (19.01.2021)

  3. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  4. #2
    Guru Аватар для CodeMaster
    Регистрация
    26.04.2009
    Адрес
    г. Воронеж
    Сообщений
    6,210
    Спасибо Благодарностей отдано 
    131
    Спасибо Благодарностей получено 
    210
    Поблагодарили
    181 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Northwood Посмотреть сообщение
    Если эта тема вызовет интерес, то буду её развивать.
    Интересно только осталось ли ещё что-то что теоретически можно добавить в Pentagon или машина-мечта?
    "Во времена всеобщей лжи говорить правду - это экстремизм" - афоризм.

  5. #3
    Master Аватар для Northwood
    Регистрация
    06.01.2011
    Адрес
    г. Днепр, Украина
    Сообщений
    804
    Спасибо Благодарностей отдано 
    43
    Спасибо Благодарностей получено 
    198
    Поблагодарили
    100 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от CodeMaster Посмотреть сообщение
    Интересно только осталось ли ещё что-то что теоретически можно добавить в Pentagon или машина-мечта?
    Вообще ещё не хватает организации блокировки части верхней памяти под RAM диск. Можно было бы 1 Мб или 2 Мб выделить под RAM-диск, остальную часть памяти отдать пользователям на усмотрение. Но тогда не совсем ясно, как совместить возможности многостандартности расширения с RAM-диском.
    С уважением, Александр

  6. #4
    Master Аватар для Northwood
    Регистрация
    06.01.2011
    Адрес
    г. Днепр, Украина
    Сообщений
    804
    Спасибо Благодарностей отдано 
    43
    Спасибо Благодарностей получено 
    198
    Поблагодарили
    100 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    Для практического удобства неплохо было-бы добавить возможность прерывания выполняемой программы - отладка кода, сохранение дампа игры для дальнейшего продолжения с места останова, корпоративного переключения программ, или выполнения фоновых задач... К примеру играешь во что-то, нажал копку и из меню загрузил музон на SounDrive для проигрывания фоном, или временно переключился на работу с другой программой-упаковщиком, дал задание упаковки файлов на диске... у тебя же около 280-295% процентов производительности проца.
    На одном живом Z80 и рассыпной логике сделать то что на видео не реально. И проценты производительности здесь не помогут, одного прерывания и одного блока памяти будет мало. То что на видео, реализовано на ПЛИСине, на которой можно без участия паяльника сделать всё что угодно. Там же конкретно реализованы 4 самостоятельных ZX-Spectrum, каждый со своим процессором и памятью. Т.е. на рассыпной логике нужно сделать тоже самое - поставить 4 шт Z80 и по сути собрать 4 ZX-Spectrum-а, работающих независимо друг от друга, но с выводом одной общей картинки. Это далеко выходит за пределы данного проекта.

    Если в рамках Pentagon-а просто прерывать программу, то для этого служит кнопка NMI. Если на Pentagon-е нужна многозадачность на одном процессоре, тогда нужна операционная система. Есть интересная ОС Myphos, в которой есть и многозадачность, и оконный интерфейс, но это будет далеко не то что на видео. Но команда, которая её делала, давно забросила проект.
    С уважением, Александр

  7. #5
    Guru
    Регистрация
    14.05.2015
    Адрес
    г. Харьков, Украина
    Сообщений
    2,940
    Спасибо Благодарностей отдано 
    150
    Спасибо Благодарностей получено 
    302
    Поблагодарили
    171 сообщений
    Mentioned
    4 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Northwood, очень интересно ! , на сколько готова схематика ? Что из описанного оттестили в железе ? Мы тут немного топчемся , выпустили грабер модов под 1024 - тыц , сейчас JC и PFC под 1024 перепиливаем , на GS и DNA под 1024 есть планы, а какие у вас заготовки под ваш проект ?
    Увы общий формат форума вышел из рамок моего любимого места , более тут не бываю.

  8. #6
    Master Аватар для Northwood
    Регистрация
    06.01.2011
    Адрес
    г. Днепр, Украина
    Сообщений
    804
    Спасибо Благодарностей отдано 
    43
    Спасибо Благодарностей получено 
    198
    Поблагодарили
    100 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от JV-Soft Посмотреть сообщение
    Northwood, очень интересно ! , на сколько готова схематика ? Что из описанного оттестили в железе ? Мы тут немного топчемся , выпустили грабер модов под 1024 - тыц , сейчас JC и PFC под 1024 перепиливаем , на GS и DNA под 1024 есть планы, а какие у вас заготовки под ваш проект ?
    Всё что я описал в этой теме, кроме расширения ZX-Bus до 4 слотов, всё собрано и работает у меня. Все выявленные в ходе испытания в реале недостатки, описаны. Но с расширением ZX-Bus проблем возникнуть не должно, т.к. его я сделаю по тому же принципу, по которому уже сделано 2 слота в оригинальной схеме.

    У меня установлен GS, память я расширил до 2Мб, реально всего доступно 2016 Кб, т.е. на 1 страницу 32Кб меньше, под МОДы, соответственно, ровно 2000 Кб. Где-то должна быть моя тема по моей схеме расширения, в котором я выкинул всё старое ОЗУ 128 Кб, и всё ОЗУ 2Мб реализовано на плате, воткнутой в слот GS. А затем разогнал GS до 18 МГц.

    Есть видео, правда, качество не очень, т.к. снимал веб-камерой с экрана тв. Правда, я ещё не снимал видео с демонстрацией всех видеорежимов.



    То что можно протестировать уже сейчас существующим ПО, я проверил. Есть несколько игр, использующих видеорежим "16Colors", есть 1 игра, использующая аппаратный мультиколор, есть картинки во флеш-колоре и в гигаскрине. Монохромный видеорежим 512х192 использован в терминалке Мелон и в моём BIOS-е на этапе тестирования ОЗУ и оборудования. Цветной режим 512х192 использован в моём BIOS-Setup. Работоспособность мультиколорного режима 512х192 я протестировал заполняя вручную байты в соответствующих экранных областях, увы, ПО, использующего его нет, но потенциал этого режима очень хорош.
    Наконец, режим 384х288 использован в одной единственной программе, рисующей испытательную телевизионную таблицу на весь экран.

    Сейчас всё это сделано в виде многочисленных бутербродов из микросхем и кучи МГТФ провода, плюс 1 макетная плата. Есть желание сделать нормальную плату, т.к. мой опытный образец практически не ремонтопригоден - в случае простого обрыва провода, крайне тяжело выяснить, откуда он шёл и где позиция по схеме каждой напаянной сверху микросхемы.

    У меня в планах собрать кодер PAL/NTSC. За основу взял кодер от NedoPC, но хочу реализовать оба стандарта цвета сразу с переключением джампером. Все детали у меня есть, плата разведена, только сейчас пока не до её изготовления, но в ближайшее время всё-таки сделаю. Тогда я смогу записать уже качественное видео с демонстрацией видеорежимов.
    Последний раз редактировалось Northwood; 30.11.2017 в 05:51.
    С уважением, Александр

  9. #7
    Guru
    Регистрация
    14.05.2015
    Адрес
    г. Харьков, Украина
    Сообщений
    2,940
    Спасибо Благодарностей отдано 
    150
    Спасибо Благодарностей получено 
    302
    Поблагодарили
    171 сообщений
    Mentioned
    4 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от Northwood Посмотреть сообщение
    установлен GS, память я расширил до 2Мб
    Читал когда делал GS , но еще упростил - http://zx-pk.ru/threads/17696-genera...l=1#post911281
    На счет доработок, захочет ли народ те доработки которые не поддерживаются ни каким софтом или поддерживаются одной прогой. А размер платы они думаю сущществено увеличивают и стоимость.
    Цитата Сообщение от Northwood Посмотреть сообщение
    Компьютер будет работать не со всеми типами процессоров Z80. Но отлично работает с Z84C0020PEC, и их не сложно достать, китайцы до сих пор их продают пачками;
    Покупал у китайцев как раз для GS , оказался перепиленный Z80A , а купить реальный Z84C0020PEC проблема. На прямой вопрос китайцам - оригинал ? Они отвечают - реплика
    По поводу 7 и 14 мгц тут может вылезти куча касяков , на таких частотах одна микросхема не той серии например 555 вместо 1531 и уже турба работать не будет, тут вот размышления по поводу 7 без вайта - http://zx-pk.ru/threads/26977-pentag...l=1#post888482

    На счет 5 бита 7ffd , там надо делать переключение - клацнул , блокировки нет и 1024кб , клацнул назад - 128 кб и защелка.
    Увы общий формат форума вышел из рамок моего любимого места , более тут не бываю.

  10. #8
    Moderator Аватар для Mick
    Регистрация
    14.06.2005
    Адрес
    г. Калуга
    Сообщений
    9,911
    Спасибо Благодарностей отдано 
    177
    Спасибо Благодарностей получено 
    695
    Поблагодарили
    368 сообщений
    Mentioned
    19 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от JV-Soft Посмотреть сообщение
    окупал у китайцев как раз для GS , оказался перепиленный Z80A , а купить реальный Z84C0020PEC проблема. На прямой вопрос китайцам - оригинал ? Они отвечают - реплика
    Покупай в серьезных магазинах типа digikey тогда не будет вам реплик. Ну да там цены сразу "приятно" удивят.
    Сайт поддержки моих изделий - http://micklab.ru/
    Группа ВКонтакте - https://vk.com/micklab

  11. #9
    zx_
    Гость

    По умолчанию

    Цитата Сообщение от Northwood Посмотреть сообщение
    Есть несколько игр, использующих видеорежим "16Colors", есть 1 игра, использующая аппаратный мультиколор, есть картинки во флеш-колоре и в гигаскрине. Монохромный видеорежим 512х192 использован в терминалке Мелон и в моём BIOS-е на этапе тестирования ОЗУ и оборудования.

    оченно интересно! ждем схем описаний

    - - - Добавлено - - -

    Цитата Сообщение от Northwood Посмотреть сообщение
    есть 1 игра, использующая аппаратный мультиколор
    ? очень любопытно на нее посмотреть

  12. #10
    Master Аватар для Northwood
    Регистрация
    06.01.2011
    Адрес
    г. Днепр, Украина
    Сообщений
    804
    Спасибо Благодарностей отдано 
    43
    Спасибо Благодарностей получено 
    198
    Поблагодарили
    100 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от zx_ Посмотреть сообщение
    ? очень любопытно на нее посмотреть
    Не помню, откуда я её взял, но вот она:
    HEXAFIXA.rar

    В меню игры по умолчанию включен программный мультиколор, можно выбирать количество тактов в строке или включить аппаратный мультиколор.

    - - - Добавлено - - -

    Цитата Сообщение от JV-Soft Посмотреть сообщение
    Читал когда делал GS , но еще упростил - http://zx-pk.ru/threads/17696-genera...l=1#post911281
    Ты использовал диодную логику, я же от неё наоборот намерено ухожу всегда, т.к. она сильно ограничивает потенциал по разгону. Я же свой GS разогнал до 18 МГц чтобы увеличить количество тактов в прерывании и убрать торможение GS при одновременном проигрывании всех 4х каналов на некоторых МОДах.

    Цитата Сообщение от JV-Soft Посмотреть сообщение
    По поводу 7 и 14 мгц тут может вылезти куча касяков , на таких частотах одна микросхема не той серии например 555 вместо 1531 и уже турба работать не будет,
    При сборке любого устройства всегда нужно быть внимательным, что ставишь. 1531 в случае крайней необходимости можно заменить на 531, но 531 жрёт много и сильно греется. Но вот к примеру, ТМ8 я нигде не нашёл серии 1531, пришлось ставить 531.

    Цитата Сообщение от JV-Soft Посмотреть сообщение
    тут вот размышления по поводу 7 без вайта - http://zx-pk.ru/threads/26977-pentag...l=1#post888482
    Ну я давно все проблемы с 7 МГц порешал. Кстати, TR-DOS у меня работает работает в Турбо-7 МГц. Поначалу я тоже по команде "Motor" от контроллера дисковода переводил весь комп на 3.5 МГц. И хотя все дискеты читались и писались нормально, но это не решало проблему с коммандерами, которые в цикле опрашивают датчик наличия дискеты - как только включал Турбо-7 МГц, тут же выскакивало "No Disc". Хорошую идею мне подсказал Solegstar, у него в Профи Турбо 7 МГц в TR-DOSе не отключается, а просто удлиняется WAIT-ом сигнал IORQ. Я нашёл в одном из электронных журналов схему, которая применялась в KAY-1024, и собрал в своём Пентагоне, немного переработав. Сразу проверил в TR-DOSе, проблема с "No Disc" ушла. Отключил переключение из Турбо-7 МГц в Нормал-3.5 МГц при работе с TR-DOS - всё отлично работает. Протестировал и форматирование дискет, и запись их, и совместимость записанных в Турбо-7МГц дискет с записью в режиме Нормал - всё отлично.
    Этой же схемой я решил проблемы со всей остальной периферией, которая отказывалась работать в Турбо. Особенность схемы удлинения IORQ, которую я применил, в том, что она это делает не для всех портов, а только для тех, которые реально в Турбо не работают. Я туда поставил микросхему 1533ЛА2, у неё 8 входов, можно задавать до 8 устройств, которым это требуется. Не обязательно по одному порту, например, весь TR-DOS у меня занял всего 1 вход микросхемы ЛА2.
    Если нужно будет добавить ещё какой-то порт на удлинение IORQ, то можно задействовать один из оставшихся свободных входов микросхемы ЛА2.

    Цитата Сообщение от JV-Soft Посмотреть сообщение
    На счет 5 бита 7ffd , там надо делать переключение - клацнул , блокировки нет и 1024кб , клацнул назад - 128 кб и защелка.
    У меня будет 2048 Кб при включенной блокировке #7FFD-D5.
    Последний раз редактировалось Northwood; 30.11.2017 в 14:09.
    С уважением, Александр

Страница 1 из 81 12345 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Pentagon 1024
    от Ilyad в разделе Pentagon
    Ответов: 3
    Последнее: 24.06.2015, 15:28
  2. Ответов: 186
    Последнее: 01.07.2012, 18:43
  3. Pentagon – 1024 SL 2.2
    от skar в разделе Барахолка (архив)
    Ответов: 5
    Последнее: 19.08.2008, 12:56
  4. Pentagon – 1024 SL 1.4
    от skar в разделе Барахолка (архив)
    Ответов: 19
    Последнее: 28.08.2007, 13:00
  5. Pentagon 1024 SL
    от breeze в разделе Pentagon
    Ответов: 7
    Последнее: 15.03.2005, 10:43

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •