Важная информация

User Tag List

Страница 1 из 67 12345 ... ПоследняяПоследняя
Показано с 1 по 10 из 661

Тема: Еще один Орион на ПЛИС

  1. #1
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию Orion-NG

    Нужен совет по тактированию ВМ80 - корректно ли сформированы оба сигнала (F1, F2) на диаграмме?

    На 0-ой отметке снят сброс, смотрел состояние шин.
    Собственно, отлаживаю журнальную схему, перенесённую 1:1 в VHDL FPGA. Расхождение только в сканировании памяти и отсутствует формирователь видеосигналов - видеовыход сделал через собственный адаптер на VGA (на том же кристалле размещён.

    PS: Спустя столько лет наконец-то появилось время заняться старыми проектами, завод наконец-то запустили почти
    Последний раз редактировалось andreil; 08.05.2018 в 12:51.
    "Байт-48"

  2. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #2
    Master
    Регистрация
    30.07.2013
    Адрес
    г. Запорожье, Украина
    Сообщений
    890
    Спасибо Благодарностей отдано 
    69
    Спасибо Благодарностей получено 
    83
    Поблагодарили
    49 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Что-то похоже. См. стр. 6 http://www.fecegypt.com/uploads/data...50148_8080.pdf

  4. #3
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,394
    Спасибо Благодарностей отдано 
    304
    Спасибо Благодарностей получено 
    594
    Поблагодарили
    440 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Да, норм. Активность шины - при 0 на F2, важна стабильность от фронта F1 до фронта F2.

  5. #4
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Alex_LG Посмотреть сообщение
    Что-то похоже. См. стр. 6 http://www.fecegypt.com/uploads/data...50148_8080.pdf
    Спасибо, всё совпадает. Проблема в обработке порта на DD29 - через пару тактов после снятия "сброса" у меня возникает сигнал /WE0, из-за чего сразу идёт запись в порт DD30 (0xF800), что сбивает чтение из ПЗУ...
    "Байт-48"

  6. #5
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Новый вопрос.
    Собираю Орион на FPGA, используя реверснутый вариант ВМ80А. И наткнулся на грабли с формированием сигнала RD - он иногда заканчивается раньше, что приводит к ложному формированию выборки портов (в моём случае - порта F800). Или я что-то не так подключил или оптимизацию надо выпилить вообще =/

    На рисунке видно, что сигналы DSYN_P и CPU_RD (собственно RD с процессора) всегда в противофазе, но иногда проскакивают вот такие вот косяки =/ Грешил на некорректную организацию портов и прочего - вернул назад вариант со схемой (BDF в Квартусе), результат 1:1...
    PS: Запустить пытаюсь TEST128.bin, но в результате получаю только бегающие по экрану полосы.
    PPS: Тактирую ядро процессора частотой 10МГц:
    Код:
    cpu: vm80a
    	port map (
    		pin_clk		=> clk_10MHz,
    		pin_f1		=> clk_F1,
    		pin_f2		=> clk_F2,
    		pin_reset	=> reset_p,
    		pin_a			=> bus_addr(15 downto 0),
    		pin_d			=> bus_data,
    		pin_hold		=> '0',
    		pin_hlda		=> cpu_hlda,
    		pin_ready	=> cpu_ready,
    		pin_int		=> cpu_int,
    		pin_inte		=> cpu_inte,
    		pin_sync		=> cpu_sync,
    		pin_dbin		=> cpu_rd,
    		pin_wr_n		=> cpu_wr_n
    	);
    Последний раз редактировалось andreil; 24.01.2018 в 20:41.
    "Байт-48"

  7. #6
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,394
    Спасибо Благодарностей отдано 
    304
    Спасибо Благодарностей получено 
    594
    Поблагодарили
    440 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    andreil, не туда ты смотришь. Наводка:

    Синхронный дизайн? Не, не слышал.

  8. #7
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Воу... И точно.
    Но блин, эти сигналы формируются с тупого счётчика =/
    В общем, переделывать и ещё раз переделывать...

    PS: Да, некорректно отрабатывал счётчик, на основании которого такты и формировались - он считал на 1 такт больше, чем надо (а он у меня считает пиксели в строке)...
    Последний раз редактировалось andreil; 25.01.2018 в 10:57.
    "Байт-48"

  9. #8
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,394
    Спасибо Благодарностей отдано 
    304
    Спасибо Благодарностей получено 
    594
    Поблагодарили
    440 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Пышто, если ты взял нашу модельку из этой темы, то она верифицирована. Я, правда, свое оптимизированное изложение еще не закончил.

  10. #9
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от HardWareMan Посмотреть сообщение
    Пышто, если ты взял нашу модельку из этой темы, то она верифицирована. Я, правда, свое оптимизированное изложение еще не закончил.
    Брал отсюда. В той теме долго искать модель
    "Байт-48"

  11. #10
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,394
    Спасибо Благодарностей отдано 
    304
    Спасибо Благодарностей получено 
    594
    Поблагодарили
    440 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    Брал отсюда. В той теме долго искать модель
    В 6 кликов.

Страница 1 из 67 12345 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Эмулятор ZX-Poly
    от Raydac в разделе Эмуляторы
    Ответов: 106
    Последнее: 24.01.2024, 11:52
  2. ОРИОН-2010 на ПЛИС.
    от Ewgeny7 в разделе Орион
    Ответов: 447
    Последнее: 23.11.2016, 12:27
  3. Еще один Ленинград 48
    от Ewgeny7 в разделе Ленинград
    Ответов: 0
    Последнее: 16.10.2007, 22:31
  4. Ещё один Д.Р.
    от GNTB в разделе Поздравления
    Ответов: 4
    Последнее: 19.07.2006, 01:52
  5. Ещё один подраздел
    от CityAceE в разделе Форум
    Ответов: 2
    Последнее: 22.05.2005, 11:57

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •