Важная информация

User Tag List

Страница 50 из 67 ПерваяПервая ... 464748495051525354 ... ПоследняяПоследняя
Показано с 491 по 500 из 661

Тема: Еще один Орион на ПЛИС

  1. #491
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    106
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    Такой вопрос - насколько актуален объём памяти в 1Мб? Или "всем хватит" 512Кб?
    В 90-х я заложил в Альтаир-ДОСе карту памяти до 1Mб, при том на реальных клонах у нас было по 512к, которые при наличии электронного диска (он в этом же ОЗУ порта F9) занимали всю память так, что электронный диск был меньше желаемого (т.к. пользовались еще большим количеством разных прог - драйверов, мониторов, плееров и т.п., а они все сидят в памяти доп. страниц). Но тогда у всех были только дисководы и без электронного диска было никак. Сейчас есть IDE, SD - более быстрые и емкие, делай нехочу, и можно обойтись без эл.диска. А вот для UZIX иметь хотя бы 1Мб крайне желательно, ибо там каждый процесс по 60к и на 512к можно одновременно иметь только 5 процессов (из которых один init, т.е. по факту четыре, а если еще иметь и cron, так и вообще - три). Кароче, я за 1Мб в базе и с опцией расширения (если не шибко усложнит).
    Последний раз редактировалось Error404; 12.07.2018 в 12:17.
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  2. #492
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение
    В 90-х я заложил в Альтаир-ДОСе карту памяти до 1Mб, при том на реальных клонах у нас было по 512к, которые при наличии электронного диска (он в этом же ОЗУ порта F9) занимали всю память так, что электронный диск был меньше желаемого (т.к. пользовались еще большим количеством разных прог - драйверов, мониторов, плееров и т.п., а они все сидят в памяти доп. страниц). Но тогда у всех были только дисководы и без электронного диска было никак. Сейчас есть IDE, SD - более быстрые и емкие, делай нехочу, и можно обойтись без эл.диска. А вот для UZIX иметь хотя бы 1Мб крайне желательно, ибо там каждый процесс по 60к и на 512к можно одновременно иметь только 5 процессов (из которых один init, т.е. по факту четыре, а если еще иметь и cron, так и вообще - три). Кароче, я за 1Мб в базе и с опцией расширения (если не шибко усложнит).
    Посмотрим, но вариант с памятью >1Мб не укладывается в GAL, если рассматривать такой вариант. И в CPLD на 128 ячеек так же не укладывается уже.
    В CPLD впихнул почти весь видеотракт:
    • Счётчики горизонтали и вертикали - 20 ячеек + 8 на логику;
    • Переключение турбо-режима (и бит порта 10);
    • Порт видеобанков - 4 ячейки (номер "банки" + бит широкого экрана);
    • Мультиплексирование адреса для памяти - 19 ячеек;
    • Арбитраж памяти - 10 ячеек (вместе с управлением буферами к Z80);
    • Дешифрация портов видео - 3 ячейки;
    • Порты управления памятью - 44 ячейки.

    Расчёты примерные, и не включают в себя ячейки на формирование выходов (ШД + ещё пара), вот и получается "внатяг".

    PS: Китайцы-посредники крупно кинули с CPLD - в их фотографиях они были, а к нам не пришли. Будем ругаться по этому поводу, потому что я в минусе на 100$ по ним только. А пока - начал паять макетку на дискретках и ATF (как раз позавчера программатор для них пришел).

    - - - Добавлено - - -

    Кстати, посчитал на бумажке примерные временные задержки в случае использования ATF и быстрой памяти (как у меня, 10нс) - видеоданные будут отставать от синхронизации на 7-40нс, то есть до 1 пикселя смещение.
    И очень плохо получается с безвейтовой работой процессора с памятью на частоте процессора 12,5МГц (кстати, мой Z84C0020PEC такую частоту тянет, но греется ощутимо). Дело в том, что длительность импульса записи составляет около 80нс. С учётом задержек в логике и прочем, получаем около 60нс.В итоге, что бы гарантированно иметь доступ к памяти на такой частоте без ожиданий, частота переключения "видео-процессор" для памяти должна составлять 12,5МГц. В противном случае будут "промахи" по записи - в симуляции это было очень хорошо заметно, когда идёт заливка экрана - при первом проходе что-то пропустило и залило потом.
    "Байт-48"

  3. #493
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Из неявных проблем конструкции - буфер между памятью и процессором не получится выполнить на АП6 и прочих буферах. При текущих параметрах необходимы защёлки как минимум на чтение (как это реализовано в ПРО), иначе конец чтения может попасть на интервал доступа видео к памяти. И потеряем байт(ы). В итоге получается сразу 4 корпуса вместо 2-х - по 2 на каждое направление, оба защелки (например, ИР22 или аналогичные).
    2-мя защелками, как в ПРО, не обойтись - шина памяти двунаправленная.

    - - - Добавлено - - -

    По поводу памяти - как на счёт использования SDRAM?
    Да, для инициализации придётся ставить хоть какую-то CPLD для реализации конечного автомата. Но такую память сейчас можно найти в любом магазине с комплектующими по копеечным ценам.
    Из плюсов - большие объёмы с минимальными затратами (легко организовать объёмы от 8Мб и больше, нам интересны только до 4Мб, дальше порты ПРО не дадут адресовать уже).
    Минусы:
    1) Повышенная тактовая частота - для тактовой процессора 12,5МГц и длительности сигнала записи в 1 такт требуется тактовая частота памяти в 50МГц (работаю над уменьшением до 25МГц, курю ДШ);
    2) Менее "паябельные" корпуса для обычных паяльников.
    "Байт-48"

  4. #494
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    106
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    Из неявных проблем конструкции - буфер между памятью и процессором не получится выполнить на АП6 и прочих буферах. При текущих параметрах необходимы защёлки как минимум на чтение (как это реализовано в ПРО), иначе конец чтения может попасть на интервал доступа видео к памяти. И потеряем байт(ы). В итоге получается сразу 4 корпуса вместо 2-х - по 2 на каждое направление, оба защелки (например, ИР22 или аналогичные).
    2-мя защелками, как в ПРО, не обойтись - шина памяти двунаправленная.
    ЕМНИП в любом Орионе с Z80 всегда обращение процессора попадает на интервал доступа диспетчера памяти (если поделить время работы с памятью как 50:50). В журнальном Орионе связано с тем, что у Z80 на 20% длиннее сигналы обращения к памяти чем у 8080 под который составлена журнальная схема. Для этого делали доработку "в один порез" на арбитре D13 изменяя эту пропорцию 50:50 на более подходящую. Это чтоб обойтись без регистров (хотя до того были варианты и с дополнительной ИР82 как самое очевидное "решение в лоб". Орион ПРО тут не может быть ориентиром, он весь собран из "решений в лоб" и поэтому в нем 150 корпусов против 65 в оригинальном Орионе).
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  5. #495
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Примерная структурная схема текущего варианта Ориона, без части портов, ПЗУ и всех УВВ. Память в обоих направлениях буферизирована регитрами/защёлками для обеспечения корректности данных.
    Реализована работа с SDRAM - ради этого пришлось увеличить частоту. По факту память будет тактироваться от 100МГц (через фазосдвигающую цепочку и XOR). В противном случае память не успевает отработать в отведённое время (для чтения и записи требуется 8 тактов). Очень тормозят циклы ожидания - их тут половина, иначе память не успевает по факту (всё по ДШ).
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	orion_video.jpg 
Просмотров:	70 
Размер:	22.8 Кб 
ID:	65768  
    "Байт-48"

  6. #496
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    106
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    По факту память будет тактироваться от 100МГц (через фазосдвигающую цепочку и XOR). В противном случае память не успевает отработать
    «Ученье - вот чума, ученость - вот прична,
    Что нынче, пуще, чем когда,
    Безумных развелось людей, и дел, и мнений.»
    А. С. Грибоедов «Горе от ума»

    Сорян, я не удержался.

    Не, ну правда, в Спектрумы ставят любую статику на простой защелке CAS (или RAS) на одном регистре. И оно там работает на частотах 3.5 и 7 МГц вот уже как пару десятилетий (это стали делать сразу как статика подешевела) в тысячах экземплярах у нас и за рубежом. При этом базовая схема остается совсем не переработанной, без каких либо изменений. Ну не читали они даташиты - и слава богу, все работает.

    Понятно, что тут VGA и поэтому частоты видеоконтроллера вдвое большие, но не вдесятеро же.

    - - - Добавлено - - -

    Думаю, если все так критично, то оправдан уход от "прозрачного ОЗУ" (вейтить проц в угоду видеоконтроллеру, или выправлять плавающей растактовкой проца - на Орионе и такое было в Ташкентском Турбо). Это всяко лучше, чем как в старые времена организовываить рейд на оборонное предприятие дабы выкрасть секретную космическую разработку-ОЗУ, работающую на таких частотах.
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  7. #496
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #497
    Guru Аватар для Denn
    Регистрация
    04.05.2006
    Адрес
    St.-Petersburg
    Сообщений
    2,220
    Спасибо Благодарностей отдано 
    473
    Спасибо Благодарностей получено 
    899
    Поблагодарили
    591 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Да уж, ради 10 МГц такта (для ПРО) реального компа вдувать 100 МГц - это жёстко. Я бы пошёл по пути МПС сама по себе, а "видяха" сама по себе. Разумеется через двухпортовку. Как-то сразу всё проще и логичнее выходит. Но это просто мысли вслух, своего мнения ТС не навязываю.
    Критиковать - значит объяснять автору, что он делает не так, как делал бы я, если бы умел

  9. #498
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение
    Думаю, если все так критично, то оправдан уход от "прозрачного ОЗУ" (вейтить проц в угоду видеоконтроллеру, или выправлять плавающей растактовкой проца - на Орионе и такое было в Ташкентском Турбо). Это всяко лучше, чем как в старые времена организовываить рейд на оборонное предприятие дабы выкрасть секретную космическую разработку-ОЗУ, работающую на таких частотах.
    Ввод SDRAM - это чистейший эксперимент. И да, они на каждом углу сейчас есть - выпаиваются с любых планок памяти со старого компьютера. На любой барахолке можно купить пачку планок. Так что совсем не дефицит
    И да - её имплементация - чистейший эксперимент. Думаю сейчас назад на SRAM вернуть. Думал сэкономить на пинах за счёт мультиплексирования адреса, но не получилось, по сути.

    PS: Если не тормозить процессор, то на максимальной частоте (12,5МГц) строб записи получается всего 80нс (сигнал /WR от Z80). SDRAM за это время должна отработать все 7 тактов цикла записи (IDLE, RAS, NOP, NOP, CAS, NOP, NOP). Без NOP'ов не получится (даже без 1) - сразу не работает и всё. Если тактирование скинуть до 50МГц, тогда можно выкинуть их часть - завтра с этим и буду крутить, как получится.
    Пока что работает стабильно, но чтение памяти процессором не проверял вообще...
    "Байт-48"

  10. #499
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Со статикой максимум, что удалось впихнуть - 4 чипа памяти. Дальше не хватает ног у управляющей CPLD - и так уже 100% занято, остались только ноги JTAG'а (но это совсем край уже).
    Получается очень компактно, но 23 корпуса... 4 из них - SRAM (по просьбе Error404, что бы можно было нарастить до 2Мб просто допайкой чипов).
    Сижу и думаю - если и порты так же в CPLD вторую упаковать, то ВЕСЬ Орион умещается на 1 платке. Главное - грамотно скомпоновать, что бы вписаться в 2 слоя ПП. Места сейчас вполне хватает для подобных манёвров.
    Последний раз редактировалось andreil; 19.07.2018 в 23:32.
    "Байт-48"

  11. #500
    Activist Аватар для Stampmaker
    Регистрация
    08.02.2015
    Адрес
    г. Санкт-Петербург
    Сообщений
    240
    Спасибо Благодарностей отдано 
    7
    Спасибо Благодарностей получено 
    13
    Поблагодарили
    5 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    то ВЕСЬ Орион умещается на 1 платке
    а раньше он на нескольких платках что ли помещался? :-)

Страница 50 из 67 ПерваяПервая ... 464748495051525354 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Эмулятор ZX-Poly
    от Raydac в разделе Эмуляторы
    Ответов: 106
    Последнее: 24.01.2024, 11:52
  2. ОРИОН-2010 на ПЛИС.
    от Ewgeny7 в разделе Орион
    Ответов: 447
    Последнее: 23.11.2016, 12:27
  3. Еще один Ленинград 48
    от Ewgeny7 в разделе Ленинград
    Ответов: 0
    Последнее: 16.10.2007, 22:31
  4. Ещё один Д.Р.
    от GNTB в разделе Поздравления
    Ответов: 4
    Последнее: 19.07.2006, 01:52
  5. Ещё один подраздел
    от CityAceE в разделе Форум
    Ответов: 2
    Последнее: 22.05.2005, 11:57

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •