Важная информация

User Tag List

Показано с 1 по 10 из 661

Тема: Еще один Орион на ПЛИС

Древовидный режим

Предыдущее сообщение Предыдущее сообщение   Следующее сообщение Следующее сообщение
  1. #10
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от LeoN65816 Посмотреть сообщение
    andreil, тебе уже HardWareMan говорил про синхронность. Все выходные сигналы управления внешними модулями/блоками, включая и сигнала такта проца и nCAS для DRAM, должны быть регистровыми, и должны быть синхронными от одного клока (заведомо большей частоты), и никаких латчей, только флипфлопы! Синхронность - залог устойчивой работы логики на больших частотах. У тебя же все на комбинаторике, это ох!"№;%:?*ые задержки и иголки/глитчи. Даже F[0..3] на 7490 у тебя каскадирован...
    По F[0..3] - схема из ДШ, счётчик до 10-и, стандартней некуда.
    По синхронности - та схема уже переделана очень сильно, асинхронные только синхронизация и тактирование (в котором единовременно отрабатывает только одна ветвь).
    И где Вы увидели латчи? только если на участке RAM-VIDEO по данным, где это вообще параллельно А все сигналы вообще-то на флип-флопах идут, смотрите схему.
    по nCAS - у меня он используется для других целей. У меня всё на статике и это единственное использование этого сигнала.

    И не надо так агриться - я же писал, что учусь подобной разработке, а не являюсь гуру. И просил давать советы, а не ругать в духе "ты плохой, не делай так".

    - - - Добавлено - - -

    Цитата Сообщение от barsik Посмотреть сообщение
    Как видите деталей совсем немного и смакетировать это можно за пару вечеров. А текстовый режим, даже такой несуразный, что занимает 16 кб, намного быстрее, чем графический. Проблема только в том, что я не уверен, что ОЗУ будет регенерироваться. Кто-нибудь может высказаться на этот счет?
    Проблема в том, что я моделирую с целью создать "на рассыпухе".
    И пока что делаю минимальный вариант, по-сути. Как будет стабильно работать в виде схемы, так и начну дальше "допиливать".
    Последний раз редактировалось andreil; 14.02.2018 в 21:37.
    "Байт-48"

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Эмулятор ZX-Poly
    от Raydac в разделе Эмуляторы
    Ответов: 106
    Последнее: 24.01.2024, 11:52
  2. ОРИОН-2010 на ПЛИС.
    от Ewgeny7 в разделе Орион
    Ответов: 447
    Последнее: 23.11.2016, 12:27
  3. Еще один Ленинград 48
    от Ewgeny7 в разделе Ленинград
    Ответов: 0
    Последнее: 16.10.2007, 22:31
  4. Ещё один Д.Р.
    от GNTB в разделе Поздравления
    Ответов: 4
    Последнее: 19.07.2006, 01:52
  5. Ещё один подраздел
    от CityAceE в разделе Форум
    Ответов: 2
    Последнее: 22.05.2005, 11:57

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •