Важная информация

User Tag List

Страница 38 из 67 ПерваяПервая ... 343536373839404142 ... ПоследняяПоследняя
Показано с 371 по 380 из 661

Тема: Еще один Орион на ПЛИС

  1. #371
    Master
    Регистрация
    20.06.2014
    Адрес
    г. Орск, Оренбургская обл.
    Сообщений
    778
    Спасибо Благодарностей отдано 
    25
    Спасибо Благодарностей получено 
    62
    Поблагодарили
    48 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение
    и сериализация (готовый сдвиговый регистр для видеовывода уже в ОЗУ ?)
    Для битмапа может и подойдет, но, опять же, в Орионе по 8 точек, а не по 16...
    А для аттрибутов сериализатор с какого боку?
    А стоимость и доступность смотрели?
    А какой геморрой с обвязкой, подумали?
    И чем это чудо-чудное лучше натуральной DPSRAM?
    Бросайте вы эту траву...
    Турбо АГАТ-9/16 (ЦП 65C802, 5 Махов, dual-port SRAM).

  2. #372
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    106
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от LeoN65816 Посмотреть сообщение
    Для битмапа может и подойдет, но, опять же, в Орионе по 8 точек, а не по 16...
    А для аттрибутов сериализатор с какого боку?
    А стоимость и доступность смотрели?
    А какой геморрой с обвязкой, подумали?
    И чем это чудо-чудное лучше натуральной DPSRAM?
    Бросайте вы эту траву...
    Обвязку там надо только для правильной регенерации и мультиплексор для адресных ног. Сдвиговый выходной регистр можно не использовать, там и прямые выходы есть (для каждого бита есть как выход Sn - сдвиговый, так и DQn - обычный прямой вход/выход). Да вот это "только" выльется в приличную схему, или надо приспосабливать уже имеющуюся журнальную орионовскую регенерацию от которой Андрей ушел при переходе на SRAM (а по факту родил еще больше корпусов для имитации двухпортовости). Собственно, грандиозный плюс у тех чипов только в том, что они у меня есть. Как есть (и много) обычной СРАМ на 50-70нс. И я очень надеялся, что ее и можно будет в итоге применить. А вот быстрой и при том ёмкой (512кб) SRAM или реальной двупортовой SRAM нету.
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  3. #373
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение
    Обвязку там надо только для правильной регенерации и мультиплексор для адресных ног. Сдвиговый выходной регистр можно не использовать, там и прямые выходы есть (для каждого бита есть как выход Sn - сдвиговый, так и DQn - обычный прямой вход/выход). Да вот это "только" выльется в приличную схему, или надо приспосабливать уже имеющуюся журнальную орионовскую регенерацию от которой Андрей ушел при переходе на SRAM (а по факту родил еще больше корпусов для имитации двухпортовости). Собственно, грандиозный плюс у тех чипов только в том, что они у меня есть. Как есть (и много) обычной СРАМ на 50-70нс. И я очень надеялся, что ее и можно будет в итоге применить. А вот быстрой и при том ёмкой (512кб) SRAM или реальной двупортовой SRAM нету.
    Я сейчас пытаюсь уйти от двухпортовости, как раз. Где-то накосячил при отделении памяти, в итоге в тесте всё ОК, а вот ПРОшная менюшка приветствует синим экраном
    "Байт-48"

  4. #374
    Guru
    Регистрация
    16.12.2008
    Адрес
    Kharkov, Ukraina
    Сообщений
    2,221
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    21
    Поблагодарили
    18 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вот так сразу с коня. Все это интересно конечно. Предлагаю автору задуматься о 2-портовой (одно портовой) памяти для MSX в разрезе VDP. Вот интересная задача) А то авторы зажмакали в 90г. этот момент. А работало ли оно? Теперь можно проверить=).

    У меня просто времени не хватает (в одно лицо) все проверить (и ума), занят (как минимум) переселением.
    Электроника КР-02, MSX YIS-503IIR, Орион-128, Ленинград-2, Pentagon-128k, MSX2 YIS-503IIIR, MSX-EXT, ...

  5. #375
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от OrionExt Посмотреть сообщение
    Вот так сразу с коня. Все это интересно конечно. Предлагаю автору задуматься о 2-портовой (одно портовой) памяти для MSX в разрезе VDP. Вот интересная задача) А то авторы зажмакали в 90г. этот момент. А работало ли оно? Теперь можно проверить=).

    У меня просто времени не хватает (в одно лицо) все проверить (и ума), занят (как минимум) переселением.
    Чутка не понял - попытаться реализовать 2 банки памяти? 1 для видео, двухпортовую, и 1 для CPU? Такое можно сделать, только двухпортовая даже 64К*16 стоит достаточно много даже сейчас...
    "Байт-48"

  6. #376
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    И всё-таки вынесу память на отдельную плату, иначе видеочасть ещё не скоро увидит свет...
    По псевдографике - надо окончательно определиться с портами и схемой.
    Бит 6 порта FA отвёл под активация ПДГ, биты 2-5 этого же порта отвечают за выбор шрифта.
    Биты 5-7 порта F8 отвечают за выборку байта для символа - на мультиплексор заводятся вместо Y0-Y2 (сигналы P0-P2 на схеме).
    Вот схема на данный момент, фрагмент с разъёмами пока не затрагивал - надо поменять значительно, уберутся почти все сигналы от процессора. Думаю отойти от "корзины" и делать "бутербродом", с разъёмами между платами "по этажам".

    - - - Добавлено - - -

    Под местом для ПЗУ думаю сделать мелкие перемычки для данных - если не нужен ПГД, тогда просто по капле припоя на каждую.
    "Байт-48"

  7. #376
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #377
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    106
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    И всё-таки вынесу память на отдельную плату, иначе видеочасть ещё не скоро увидит свет...
    По псевдографике - надо окончательно определиться с портами и схемой.
    Бит 6 порта FA отвёл под активация ПДГ, биты 2-5 этого же порта отвечают за выбор шрифта.
    Биты 5-7 порта F8 отвечают за выборку байта для символа - на мультиплексор заводятся вместо Y0-Y2 (сигналы P0-P2 на схеме).
    Вот схема на данный момент, фрагмент с разъёмами пока не затрагивал - надо поменять значительно, уберутся почти все сигналы от процессора. Думаю отойти от "корзины" и делать "бутербродом", с разъёмами между платами "по этажам".

    - - - Добавлено - - -

    Под местом для ПЗУ думаю сделать мелкие перемычки для данных - если не нужен ПГД, тогда просто по капле припоя на каждую.
    А чего на схеме опять 28C256 (вместо ранее обсуждавшейся W28C512 где на A15 заводится PGD чтобы младшей половиной ПЗУ с прошивкой "вход=выход" делать передачу данных 1:1 для исключения лишних коммутаторов - т.е. ПЗУ всегда между ОЗУ и выходным сдвиговым регистром)? Не понятно как тут выключается текстовый экран (исключается текстовое ПЗУ). За счет использования OE ПЗУ и дополнительного буфера с Z (без которого можно обойтись)? В схеме где одни шины быстро сориентируется только автор.

    И дефицитные ИР33/ИР37 предлагаю менять на ИР22/ИР23 соответственно. Или они с какой-то спец. целью там? Даже импортные аналоги для ИР33/ИР37 (574 и чего-то еще) дороже и куда менее распространены чем 373/374. ИР35 конечно тоже не сахар, но их нечем заменить (других таких более-менее доставаемых регистров со сбросом не знаю).

    - - - Добавлено - - -

    И вместо АП13 (what is this???) ставить АП3..АП5 (они дешевы и их у каждого есть в закромах)
    Последний раз редактировалось Error404; 24.04.2018 в 18:51.
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  9. #378
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение
    А чего на схеме опять 28C256 (вместо ранее обсуждавшейся W28C512 где на A15 заводится PGD чтобы младшей половиной ПЗУ с прошивкой "вход=выход" делать передачу данных 1:1 для исключения лишних коммутаторов - т.е. ПЗУ всегда между ОЗУ и выходным сдвиговым регистром)? Не понятно как тут выключается текстовый экран (исключается текстовое ПЗУ). За счет использования OE ПЗУ и дополнительного буфера с Z (без которого можно обойтись)? В схеме где одни шины быстро сориентируется только автор.

    И дефицитные ИР33/ИР37 предлагаю менять на ИР22/ИР23 соответственно. Или они с какой-то спец. целью там? Даже импортные аналоги для ИР33/ИР37 (574 и чего-то еще) дороже и куда менее распространены чем 373/374. ИР35 конечно тоже не сахар, но их нечем заменить (других таких более-менее доставаемых регистров со сбросом не знаю).

    - - - Добавлено - - -

    И вместо АП13 (what is this???) ставить АП3..АП5 (они дешевы и их у каждого есть в закромах)
    1) Мой косяк, не обновил сей момент.
    2) ИРки тут непринципиальны, это да.
    3) 74541 - взял "что было" из библиотеки Вообще пофиг что будет, можно даже на неинвертирующих элементах сделать.
    "Байт-48"

  10. #379
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    ПО поводу памяти в текущей схеме - при текущем пиксельклоке и прочем-прочем для вывода 2-х плоскостей видеопамяти по 16 бит чипы со временем доступа 75нс проходят впритык.
    Сейчас вот взял самый худший случай и посмотрел по таймингам - получается около 78нс на чтение данных. А если учесть все промежуточные задержки (переключение адресов, защелки на данных), то уже в пролёте.
    Частота выборок - 300МГц:


    - - - Добавлено - - -

    И да - сейчас полностью переделываю схему обращения к этим плоскостям - ПРОшный вариант годится только если обе подсистемы в одном клоковом домене, а у нас разные, в итоге тайминги не выдерживаются вообще.

    - - - Добавлено - - -

    Вот предварительный вариант 100% синхронного формирователя всех сигналов для работы с видеобанками:

    И вот диаграмма работы:

    По положительным фронтам сигналов cc1 и cc3 идёт запись половинок видеоданных. Так же сигнал cc1 используется как переключатель банок видеопамяти (по схеме ПРО - это сигнал 44 от ТГ, коммутирующий сигналы 121 и COL1/COL2).
    Если есть предложения по оптимизации данного узла - буду готов выслушать.
    Сам я сейчас вижу пару косяков, но общий принцип понятен.
    "Байт-48"

  11. #380
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Пока в отпуске и есть время, кардинально переработаю проект. Придётся временно переписать его назад на VHDL - в схемном варианте текущие исправления очень тяжело проверять, сильно много всего всплыло.
    Так же думаю на днях разобраться с интерфейсной платой для подключения реального процессора - я там забыл пару дорожек с "общим" подключить, буду паять проводками.
    "Байт-48"

Страница 38 из 67 ПерваяПервая ... 343536373839404142 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Эмулятор ZX-Poly
    от Raydac в разделе Эмуляторы
    Ответов: 106
    Последнее: 24.01.2024, 11:52
  2. ОРИОН-2010 на ПЛИС.
    от Ewgeny7 в разделе Орион
    Ответов: 447
    Последнее: 23.11.2016, 12:27
  3. Еще один Ленинград 48
    от Ewgeny7 в разделе Ленинград
    Ответов: 0
    Последнее: 16.10.2007, 22:31
  4. Ещё один Д.Р.
    от GNTB в разделе Поздравления
    Ответов: 4
    Последнее: 19.07.2006, 01:52
  5. Ещё один подраздел
    от CityAceE в разделе Форум
    Ответов: 2
    Последнее: 22.05.2005, 11:57

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •