Важная информация

User Tag List

Страница 51 из 67 ПерваяПервая ... 474849505152535455 ... ПоследняяПоследняя
Показано с 501 по 510 из 661

Тема: Еще один Орион на ПЛИС

  1. #501
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Stampmaker Посмотреть сообщение
    а раньше он на нескольких платках что ли помещался? :-)
    Всё дело в размерах платки, которые ограничены 10*10см. Больше - не охота платить зазря...
    "Байт-48"

  2. #502
    Guru
    Регистрация
    16.12.2008
    Адрес
    Kharkov, Ukraina
    Сообщений
    2,221
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    21
    Поблагодарили
    18 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Пожаловался? Ты такое пилишь. {censored}

    Напоминаю, это ваш последний штрафной балл.
    Последний раз редактировалось Error404; 21.07.2018 в 23:18.
    Электроника КР-02, MSX YIS-503IIR, Орион-128, Ленинград-2, Pentagon-128k, MSX2 YIS-503IIIR, MSX-EXT, ...

  3. #503
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от OrionExt Посмотреть сообщение
    Пожаловался? Ты такое пилишь. {censored}
    Извиняюсь, но к чему такие резкости? И где Вы увидели жалобы?
    Всё ясно с уважаемым...
    Последний раз редактировалось Error404; 21.07.2018 в 23:19.
    "Байт-48"

  4. #504
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    За выходные почти развёл платку. Уже почти закончил питание и тут вспомнил, что я не вывел на разъём синхру
    Завтра подумаю как их лучше всего провести через текущие дебри дорожек и полигонов, что бы питание особо не побить.
    А пока вот 2 картинки: верхний слой и нижний слой.
    Всё строго в 2-х слоях, полигон "общего" в местах перехода перфорировал переходными для снижения потерь на них. Так же слежу, что бы питание и "общий" были как можно "жирнее" - исхожу из общего тока в 4А, что бы хватило с запасом.
    Например, дорожка VCC, идущая по правому краю в нижнем слое, имеет ширину 2мм, а слева - 1мм. Сделал разводку с разграничением по положительному питанию - 1 трасса на Step-Down до 3.3В и околопроцессорную обвеску с процессором, вторая уже полностью разведена.
    Вариант данного участка платы ещё не финальный (из-за тех 2-х сигналов), так же потом ещё долго буду проверять ширину питания, что бы везде хватило.
    По поводу 3,3В - это пока в теории, скорее всего будет без него, то есть только 5В.
    "Байт-48"

  5. #505
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    106
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Хостинг изображений какой-то странный - не показывает верхнюю четверть рисунка. Плата же квадратная? Или нет?
    А где на ней процессор? Он же ведь не в ПЛИС?
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  6. #506
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение
    Хостинг изображений какой-то странный - не показывает верхнюю четверть рисунка. Плата же квадратная? Или нет?
    А где на ней процессор? Он же ведь не в ПЛИС?
    Я обрезал верх просто - там пока вообще пусто. Процессор и ПЗУ ещё только добавляю в схему.
    "Байт-48"

  7. #507
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вот вся плата вместе с процессором, ПЗУ и второй CPLD. Так же разместил конфигурационный переключатель. Остались ещё блокировочные конденсаторы и подтягивающие резисторы - добавлю в последнюю очередь уже. Пока ещё пытаюсь скомпоновать, переставляя оставшиеся 3 корпуса

    - - - Добавлено - - -

    Из отличий от стандартного ПРО - ПЗУ будет одна. В ней будут обе прошивки (ROM1, ROM2), переключаемые адресом A16 (формируется на основании сигналов выборки ROM. Так же адресные выводы А16 и А17 будут выведены на джамперы для выбора текущего банка.
    Системный разъём - пока что оригинальный из журналов (распиновку брал в №4 за 93 год), а не ПРОшный.

    - - - Добавлено - - -

    Ещё думаю вывод PGM завести на CPLD - что бы в будущем иметь возможность перепрошить её средствами самого компьютера. Пущу через джампер, что бы можно было разорвать в любой момент.

    - - - Добавлено - - -

    Основная Шина, очень жирная
    Выше - системный разъём, на который и выводятся почти все сигналы из этого пучка. Ну и соединяет основные 4 корпуса - процессор, ПЗУ и 2 CPLD...
    "Байт-48"

  8. #508
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    106
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    Из отличий от стандартного ПРО - ПЗУ будет одна. В ней будут обе прошивки (ROM1, ROM2), переключаемые адресом A16 (формируется на основании сигналов выборки ROM. Так же адресные выводы А16 и А17 будут выведены на джамперы для выбора текущего банка.
    Это исключит возможность использовать Альтаир-ДОС из ПЗУ. Конечно, в ПЗУ есть еще и ПРО-ДОС, но она несамодостаточная (там только код ОС и Нортон, и никакой файловой системы - чтобы что-то делать обязательно нужен дисковод FDD), тогда как в Альтаир-ДОС полноценная файловая система на весь расширенный (выше первых 64к) объем ПЗУ и файлы туда можно положить любые - это автоматизировано в моем TotalCommander (DoubleCommander, Far) плагине для работы с файловыми системами CP/M. Также, в пустые места первых 64к ПЗУ ROM2 я встроил "псевдо ромдиск-Ордос" (чтобы не делать для этого отдельную плату расширения) на 8к, управляется этим же плагином.
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  9. #509
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение
    Это исключит возможность использовать Альтаир-ДОС из ПЗУ. Конечно, в ПЗУ есть еще и ПРО-ДОС, но она несамодостаточная (там только код ОС и Нортон, и никакой файловой системы - чтобы что-то делать обязательно нужен дисковод FDD), тогда как в Альтаир-ДОС полноценная файловая система на весь расширенный (выше первых 64к) объем ПЗУ и файлы туда можно положить любые - это автоматизировано в моем TotalCommander (DoubleCommander, Far) плагине для работы с файловыми системами CP/M. Также, в пустые места первых 64к ПЗУ ROM2 я встроил "псевдо ромдиск-Ордос" (чтобы не делать для этого отдельную плату расширения) на 8к, управляется этим же плагином.
    У ПЗУ 2 старших адреса выведены на джамперы. А в процессорной CPLD 15 пинов остались свободны и я их вывел на "гребёнку". Так что соединить 2-мя проводками и дополнить прошивку CPLD - и готово расширение ПЗУ до 256Кб, управляя стандартным портом.
    Но да, может лучше будет-таки вывесить отдельную мелкую ПЗУшку для ROM1-BIOS, что бы не засирать половину ёмкой - это можно будет сделать через системный разъём и те же свободные пины, например.
    Так что всё решаемо и очень быстро. Просто места под вторую ПЗУ на плате уже нету вообще, только если крепить её "на сопли" к имеющейся.
    "Байт-48"

  10. #510
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    106
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    У ПЗУ 2 старших адреса выведены на джамперы. А в процессорной CPLD 15 пинов остались свободны и я их вывел на "гребёнку". Так что соединить 2-мя проводками и дополнить прошивку CPLD - и готово расширение ПЗУ до 256Кб, управляя стандартным портом.
    256кб - ни то ни сё. Какие вообще ПЗУ максимальной емкости есть в планаре с 8-битной организацией? На память приходит только 27/28x040 (512кбайт). А есть ли более ёмкие параллельные TTL-совместимые ПЗУ? 27с322 не предлагать - у них 10 лишних ног для возможности 16-разрядности (хотя и таки да - 4Mбайт в одном кристалле!), а тут лишние ноги на плату не влезают. Или влезет?
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

Страница 51 из 67 ПерваяПервая ... 474849505152535455 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Эмулятор ZX-Poly
    от Raydac в разделе Эмуляторы
    Ответов: 106
    Последнее: 24.01.2024, 11:52
  2. ОРИОН-2010 на ПЛИС.
    от Ewgeny7 в разделе Орион
    Ответов: 447
    Последнее: 23.11.2016, 12:27
  3. Еще один Ленинград 48
    от Ewgeny7 в разделе Ленинград
    Ответов: 0
    Последнее: 16.10.2007, 22:31
  4. Ещё один Д.Р.
    от GNTB в разделе Поздравления
    Ответов: 4
    Последнее: 19.07.2006, 01:52
  5. Ещё один подраздел
    от CityAceE в разделе Форум
    Ответов: 2
    Последнее: 22.05.2005, 11:57

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •