Важная информация

User Tag List

Страница 53 из 67 ПерваяПервая ... 495051525354555657 ... ПоследняяПоследняя
Показано с 521 по 530 из 661

Тема: Еще один Орион на ПЛИС

  1. #521
    Master
    Регистрация
    20.06.2014
    Адрес
    г. Орск, Оренбургская обл.
    Сообщений
    778
    Спасибо Благодарностей отдано 
    25
    Спасибо Благодарностей получено 
    62
    Поблагодарили
    48 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    3 - 45 юаней за штуку, на тао
    Ух-ты, очень вкусная цена! На taobao.com? На этом китайсясайте ничего не понятно... Как ты с ними работаешь? Поделись, пожалуйста.

    Цитата Сообщение от andreil Посмотреть сообщение
    4 - Потому что имеется поддержка видеорежимов Орион-ПРО, где нужно 4 плоскости (32 бита). В текущем варианте все видеоданные идут напрямую с памяти на сдвиговые регистры, без защёлок и прочего.
    Ясно. А если двухпортовку взять большего объема (например 256Кх18) и с удвоенной частотой в регистры упреждения/буферы читать?
    Турбо АГАТ-9/16 (ЦП 65C802, 5 Махов, dual-port SRAM).

  2. #522
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,404
    Спасибо Благодарностей отдано 
    318
    Спасибо Благодарностей получено 
    597
    Поблагодарили
    443 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    1 - IDT7028L15PFI, 5В.
    3 - 45 юаней за штуку, на тао
    45 американских юаней?

    А на таобао действительно есть по 45:

    Да вот незадача: кликаешь а там...

    Так что, ты бы ссылочку приводил, что-ли...

  3. #523
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от LeoN65816 Посмотреть сообщение
    Ясно. А если двухпортовку взять большего объема (например 256Кх18) и с удвоенной частотой в регистры упреждения/буферы читать?
    Можно и так, но дешевле 2 чипа меньшего объёма. Да и не нашел 5-ти вольтовых двухпортовок я там на такой объём. Может плохо искал...

    - - - Добавлено - - -

    Цитата Сообщение от HardWareMan Посмотреть сообщение
    А на таобао действительно есть по 45:
    Так что, ты бы ссылочку приводил, что-ли...
    Вот, просто скидка 50%, потому и 45Ю.

    А заказываю через знакомых - они для любителей периодически там книжки тарят, ну и моё барахло прицепом катается с книжками. Зачастую наоборот - книжки прицепом к моему барахлу едут. Особенно когда заказывал комплект движков на 2 принтера - 10 двигателей по пол кило каждый Ну и прочего ещё кило на 8 было там. Многими позициями куда выгоднее закупаться, но надо брать относительно много, что бы комиссия посредника и доставка из Китая окупилась нормально.
    "Байт-48"

  4. #524
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А тем временем процессорный модуль буду переделывать с 0.
    За основу для тестов взял ядро ВМ80А - всё работает отлично.
    Завтра думаю заменить на Z80-Card-II, после чего буду "наращивать" до ПРО путём раскомментирования портов. При этом оставлю полноценный порт FB (как это обсуждалось ранее) - вроде бы нет подводных камней с этим делом или я что-либо пропустил?
    "Байт-48"

  5. #525
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Z80-Card-II работает в полном объёме, с портами Орион-ПРО. Дальше буду запускать уже в режиме ПРО.
    "Байт-48"

  6. #526
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    OrionExt, Как автор эмулятора, может поможешь узнать причину проблемы. Суть такова, что в меню Орион-ПРО нет символов. Вообще. Методом обратного прохода от записи на экран дошел до кода по адресу 0xF501 - "ld a, (ix+0)". Здесь при выполнении в эмуляторе читается адрес 0x5190 (значение 0x00), а у меня в симуляции - 0x5190 (значение 0xFF).
    Попробую раскрутить дальше назад, но может уже сталкивался кто-либо с таким вот симптомом...

    - - - Добавлено - - -

    Ага, проблема почти решена - оказывается, запись шла в банк памяти, за пределами видеопамяти. то есть выше первых 256Кб RAM - а в симуляции у меня только они. Буду подключать внешнюю память для расширения до 512Кб, на меньшем объёме ПРО не запускается даже, как получается...

    - - - Добавлено - - -

    И таки да, с дополнительными 256Кб памяти менюшка наконец-то завелась...
    Из минусов текущей организации памяти - в первом чипе на плате CPU "исчезают" первые 256Кб памяти (ровно половина) - они перекрываются видеопамятью.
    Можно, конечно, усложнить схему дешифрации банок памяти, но тогда объём оной будет не кратен 512Кб. Например - 256Кб+512Кб и тд, с прибавлением по 512Кб.
    Последний раз редактировалось andreil; 20.08.2018 в 22:03.
    "Байт-48"

  7. #526
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #527
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Набросок схемы процессорного модуля.
    В качестве ROM2 поставил 2 корпуса 29SF040 - что бы можно было уместить упомянутую выше ОСь.
    так же в качестве ROM1 поставил 27С512 - банки будут переключаться DIP-переключателем/джамперами.
    В качестве RAM стоит 4 корпуса 256Кб*8 (3 банки за пределами кадра остались).
    Всю логику "спрятал" в CPLD'шку - на таких частотах оно надёжнее и можно обойтись без ожиданий за счёт того, что все ВВ55 эмулируются в ней (как тупые регистры, доступные для чтения/записи, без реализации других режимов).
    Кроме эмулируемых ВВ55 и прочих портов в CPLD спрятана вся дешифрация портов и прочая логика (в том числе и отвечающая за переключение старших адресов памяти).
    Почти все выводы CPLD используются, но надо будет проверить позже для надёжности - осталось всего 4 вывода.
    "Байт-48"

  9. #528
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Каюсь, грешен.
    Накосячил я знатно, но ошибку признал и исправил. Плату за пару дней перерисовал и отправил на изготовление.
    Исправил - убрал второй чип памяти и поставил защёлки на видеопамять.
    Я, идиот такой, забыл, что разные плоскости всё равно в первых 128Кб памяти живут.
    Итого по микросхемам видеомодуля:
    • ИЕ18 - 6 штук. Есть 10 74F163, идёт ещё SN74HC163DR;
    • ATF22V10 - 3 штуки;
    • ИР33 - 4 штуки. Идут SN74AHCT573DWR;
    • ИР10 - 4 штуки. Идут 74HC166D;
    • АП6 - 2 штуки. Имеются 74HCT245;
    • ТМ9 - 2 штуки. Идут MC74HC174ADR2G;
    • ЛН1 (1 гейтовый) - 1 штука. Имеются 74HCT1G04GW;
    • ИР23 - 2 штуки. Идут SN74AHCT374DWR;
    • IDT7028BPF - 1штука.

    Все детали, кроме памяти, идут вместе с платой. Как придут - там и проверю (сперва без памяти, ест).

    Сегодня накидал процессорный модуль с возможностью установки 2-х чипов по 512Кб - одним чипом 1Мб проблематичнее достать с подходящими таймингами, что бы не тормозить процессор.
    Там получилось 12 корпусов:
    • Процессор - Z80 в DIP-40;
    • EPM7128STC-100 - основная логика, там спрятаны все порты;
    • ATF22V10 - 1 шт. Формирует вектор прерывания и сам сигнал прерывания. В CPLD не влезло банально по количеству пинов;
    • 27C010 - ROM1, 1 штука. Проще достать, можно и на другую скальпелем переделать;
    • SST29F040 - 2 штуки. Можно и 1 - ROM2;
    • AS7C4098A - 4 штуки. В минимуме хватит и 1, будет 512Кб памяти. Первые 128Кб перекрывает двухпортовка из видеомодуля - её может сделаю только на запись для процессора;
    • АП6 - 2 штуки.

    У CPLD осталось всего 4 неиспользуемых пина - и так оптимизировал как мог.

    Пока всё будет идти, буду неторопясь разводить процессорный модуль и сяду за модуль клавиатуры - откладывал его уже очень долго, надо добивать...
    "Байт-48"

  10. #529
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    За пару дней выполнил черновую трассировку процессорного модуля. Монтаж получился почти односторонний - на второй стороне только 2 чипа памяти и 1 буфер (резисторы/конденсаторы я банально не считаю).
    Больше дня потратил на компоновку, и за день набросал имеющуюся "картинку". Все сигналы, кроме питания, уже разведены - буду их оптимизировать, и только после этого возьмусь за питание.
    Думаю к концу недели отправить на изготовление.
    Единственный момент - может придётся изменить тип корпуса у чипов памяти - с чипами в SOJ меня кинули, может буду покупать в TSSOP (других вариантов на Али нет, а с Тао ждать ещё месяца два-три, пока до меня дойдёт).
    "Байт-48"

  11. #530
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Возник вопрос по системному разъёму Орион-ПРО - используются платами расширения ли в нём сигналы /INTA, /BLRAM, HOLD, HLDA?
    Поискал по схемам - вроде бы нигде не используются ли, но мало ли что-то пропустил...
    На данный момент в схеме процессорного модуля они отключены и не используются.
    "Байт-48"

Страница 53 из 67 ПерваяПервая ... 495051525354555657 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Эмулятор ZX-Poly
    от Raydac в разделе Эмуляторы
    Ответов: 106
    Последнее: 24.01.2024, 11:52
  2. ОРИОН-2010 на ПЛИС.
    от Ewgeny7 в разделе Орион
    Ответов: 447
    Последнее: 23.11.2016, 12:27
  3. Еще один Ленинград 48
    от Ewgeny7 в разделе Ленинград
    Ответов: 0
    Последнее: 16.10.2007, 22:31
  4. Ещё один Д.Р.
    от GNTB в разделе Поздравления
    Ответов: 4
    Последнее: 19.07.2006, 01:52
  5. Ещё один подраздел
    от CityAceE в разделе Форум
    Ответов: 2
    Последнее: 22.05.2005, 11:57

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •