Важная информация

User Tag List

Страница 6 из 67 ПерваяПервая ... 2345678910 ... ПоследняяПоследняя
Показано с 51 по 60 из 661

Тема: Еще один Орион на ПЛИС

  1. #51
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,405
    Спасибо Благодарностей отдано 
    320
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    Пиксельклок получается 40МГц... На стандартной рассыпухе уже не собрать такую схему. Сразу отпадают серии 74HC, 74HCT, 74LS, 74ALS (К555, К1533, К1564).
    А вот 640х480 (25МГц пиксельклок) можно на любых сериях собрать (кроме 155, само собой - 30нс задержки уже на грани).
    Мой Специалист МХ^2 смеется над тобой!

  2. #52
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от HardWareMan Посмотреть сообщение
    Мой Специалист МХ^2 смеется над тобой!
    Там удвоенные строки и пиксели, то есть частота по факту в 2 раза меньше, что и позволяет собрать на практически любых МС.
    "Байт-48"

  3. #53
    Guru
    Регистрация
    16.12.2008
    Адрес
    Kharkov, Ukraina
    Сообщений
    2,221
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    21
    Поблагодарили
    18 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    andreil, вот что-то нашлось.

    8237_OSED.zip
    8251_OSED.zip
    8255_OSED.zip
    8259_OSED.zip
    Электроника КР-02, MSX YIS-503IIR, Орион-128, Ленинград-2, Pentagon-128k, MSX2 YIS-503IIIR, MSX-EXT, ...

  4. #54
    Guru Аватар для Vslav
    Регистрация
    31.03.2013
    Адрес
    г. Киев
    Сообщений
    2,413
    Спасибо Благодарностей отдано 
    132
    Спасибо Благодарностей получено 
    755
    Поблагодарили
    353 сообщений
    Mentioned
    86 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от OrionExt Посмотреть сообщение
    вот что-то нашлось.
    Давно я хотел на эти альтеровские корки посмотреть. Посмотрел. На мой взгляд они разработаны пленным конструктором. 8255 - 12 файлов общим объемом 120+ килобайт. Такое только индийцы за еду пишут.

  5. #55
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Собственно, добил структуру модулей так, как оно будет на железе выглядеть (в планах) - модуль видео + RAM, модуль CPU + ROM + системные порты (возможно ещё и клавиатуру сюда впихну).
    Пиксели пока что декодируются все сразу, потом сделаю через сдвиговый регистр (завтра на работе, если не будет мега-задач там).
    Организация памяти пока что такая:
    1) 2шт 2-х портовых 64Кб*8 - для разделения с экраном;
    2) 2шт 1-о портовых 64Кб*8 - дополнительные "банки".
    На лету происходит переключение графических режимов - 384 или 480. Вывод - пока что только 640x350@70Hz, позже добавлю более "квадратное" разрешение Просто нету "квадратных" мониторов, а телевизор вообще за ненадобностью старый выкинут на свалку...
    Имеет ли смысл делать больше 256Кб памяти вообще? Теоретически - можно просто поставить 2шт 2-х портовые 128Кб*8, но может что-то из софта использует больше? Знатоки, нужен совет (как зарубка на потом, внутреннюю память больше чем 64К слов всё равно не сделать ).
    Порты на ВВ55 завтра начну делать, как разберусь с их логикой - оригинальные корки переписывать надо 100%, там просто ужасссс

    PS: Собственно проект на гитхабе. Для PLL'ок надо сделать регенерацию - исключил файлы для симуляций всяких там).
    Пока что проект влезает в 570 ALM и много памяти - внешнюю SDRAM пока что не трогаю, для исключения косяков на ней.
    Последний раз редактировалось andreil; 31.01.2018 в 21:30.
    "Байт-48"

  6. #56
    Master
    Регистрация
    20.06.2014
    Адрес
    г. Орск, Оренбургская обл.
    Сообщений
    778
    Спасибо Благодарностей отдано 
    25
    Спасибо Благодарностей получено 
    62
    Поблагодарили
    48 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    На лету происходит переключение графических режимов - 384 или 480. Вывод - пока что только 640x350@70Hz, позже добавлю более "квадратное" разрешение
    Покажи, пожалуйста, фото и видео, как это выглядит.
    Турбо АГАТ-9/16 (ЦП 65C802, 5 Махов, dual-port SRAM).

  7. #57
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от LeoN65816 Посмотреть сообщение
    Покажи, пожалуйста, фото и видео, как это выглядит.
    Я ещё думаю над разрешениями, что бы везде всё помещалось.
    Проблема с 800х600 - никак не поместится режим 480 столбцов с удвоением пикселей.
    "Байт-48"

  8. #58
    Master
    Регистрация
    20.06.2014
    Адрес
    г. Орск, Оренбургская обл.
    Сообщений
    778
    Спасибо Благодарностей отдано 
    25
    Спасибо Благодарностей получено 
    62
    Поблагодарили
    48 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    И все ж таки, хотелось бы увидеть, как оно в 640х350...
    И еще вопрос: в репорте у тебя указано, что чип циклон пятый, причем очень жирный с 4 мегабитами внутренней памяти. Это девборда какая у тебя, навороченная (типа терасиковская, в стиле DE1) или что-то попроще и доступнее?
    Последний раз редактировалось LeoN65816; 01.02.2018 в 11:03.
    Турбо АГАТ-9/16 (ЦП 65C802, 5 Махов, dual-port SRAM).

  9. #59
    Veteran Аватар для zebest
    Регистрация
    11.01.2008
    Адрес
    Ладошкино
    Сообщений
    1,673
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    323
    Спасибо Благодарностей получено 
    221
    Поблагодарили
    174 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    Собственно проект на гитхабе.
    елси не трудно - добавить .../ROM/TEST256.hex
    спасибо.
    Profi v3.2 -=- Speccy2010,r2

  10. #60
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от zebest Посмотреть сообщение
    елси не трудно - добавить .../ROM/TEST256.hex
    спасибо.
    Вот, вложением. Репа только на домашнем компе инициализирована.

    - - - Добавлено - - -

    Цитата Сообщение от LeoN65816 Посмотреть сообщение
    И все ж таки, хотелось бы увидеть, как оно в 640х350...
    И еще вопрос: в репорте у тебя указано, что чип циклон пятый, причем очень жирный с 4 мегабитами внутренней памяти. Это девборда какая у тебя, навороченная (типа терасиковская, в стиле DE1) или что-то попроще и доступнее?
    Terasic DE1-SoC - в имени репы даже есть
    Покупалось года полтора назад, только руки дошли до неё.
    По изображению - позже выложу, я пока что с нормальным формированием видео разбираюсь, что бы минимизировать логику. Пока что все 8 пикселей преобразуются параллельно, что увеличивает объёмы...
    Вложения Вложения
    "Байт-48"

Страница 6 из 67 ПерваяПервая ... 2345678910 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Эмулятор ZX-Poly
    от Raydac в разделе Эмуляторы
    Ответов: 106
    Последнее: 24.01.2024, 11:52
  2. ОРИОН-2010 на ПЛИС.
    от Ewgeny7 в разделе Орион
    Ответов: 447
    Последнее: 23.11.2016, 12:27
  3. Еще один Ленинград 48
    от Ewgeny7 в разделе Ленинград
    Ответов: 0
    Последнее: 16.10.2007, 22:31
  4. Ещё один Д.Р.
    от GNTB в разделе Поздравления
    Ответов: 4
    Последнее: 19.07.2006, 01:52
  5. Ещё один подраздел
    от CityAceE в разделе Форум
    Ответов: 2
    Последнее: 22.05.2005, 11:57

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •