Кое-как подключил клавиатуру, скопировав почти весь код с другого клона Ориона...
Оно даже заработало, но не на 100% ещё - осталось только понять, куда все доп. сигналы заводить
- - - Добавлено - - -
Итак, запустил Монитор-3.1, который с ROM-диска стартанул ORDOS. Итого - базисный компьютер вроде готов к дальнейшим улучшениям
Сперва - буду добивать и оптимизировать вывод видео, потом займусь портами ВВ55 - сейчас они вообще эмулируюся самым тупым кодом:
Оно хоть и работает, но выглядит не очень =/Код:process (clk) begin if (rising_edge(clk) and (ports_cs(1) = '1')) then if (rd = '1') then case addr(1 downto 0) is when "00" => data <= rom_data; when others => data <= (others => 'Z'); end case; elsif (wr = '1') then case addr(1 downto 0) is when "00" => NULL; when "01" => rom_addr( 7 downto 0) <= data; when "10" => rom_addr(15 downto 8) <= data; when "11" => NULL; end case; else data <= (others => 'Z'); end if; end if; end process;
Так же планирую сделать Z80-CadrII - она, как и видеорежимы, будет включаться переключателями (в железе - джамперы или DIP-переключатели).
- - - Добавлено - - -
Как я понимаю, ВГ93 до сих пор не реверснули полностью, поэтому остаётся только реальная плата...
- - - Добавлено - - -
Актуализировал проект на гитхабе.
Статистика по ресурсам:
В PIO-модуле есть что оптимизировать ещё, поскольку при синтезе там получилась просто прорва мультиплексоров.
Структура компьютера по модулям уже обрисовывается - https://image.prntscr.com/image/lwyw...BdJ1UR6H7Q.png