Важная информация

User Tag List

Страница 8 из 86 ПерваяПервая ... 456789101112 ... ПоследняяПоследняя
Показано с 71 по 80 из 856

Тема: PDP-11 на FPGA

  1. #71
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вопрос на форуме программистов:

    - ЭтаНуТипаУменяПробелПолом алсяЧеДелатьТо?

    Ответ:

    - Настоящие_программисты_про белы_не_используют

  2. #72
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Фсё, заип.... замучался с авторским модулем DRAM, нашёл в инете, щас занят пристеплированием

    - - - Добавлено - - -

    Эт я погорячился... Знаниев пока не хватат. Пока оставлю, причешу всё, не трогая модуля DRAM

  3. #73
    Guru Аватар для bigral
    Регистрация
    12.07.2006
    Адрес
    г. Киев, Украина
    Сообщений
    2,147
    Спасибо Благодарностей отдано 
    25
    Спасибо Благодарностей получено 
    95
    Поблагодарили
    82 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Hunta Посмотреть сообщение
    Фсё, заип.... замучался с авторским модулем DRAM, нашёл в инете, щас занят пристеплированием Эт я погорячился... Знаниев пока не хватат. Пока оставлю, причешу всё, не трогая модуля DRAM
    не DRAM а SDRAM, был бы DRAM было бы все гораздо проще (там просто мультиплексированная шина)

  4. #74
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от bigral Посмотреть сообщение
    не DRAM а SDRAM
    Да, поправка, SDRAM. Замыленная голова уже была.
    В принципе, в логике работы уже более менее разобрался, но прикол в том, тактовый генератор для проца в этом проекте реализован в модуле работы SDRAM. Поэтому - типа никаких ожиданий. А если присобачивать классический - надо в модуль проца вставлять ожидание чтения записи памяти. А модуль проца там ещё та загогулина - в нем разобраться - не один вечер.

  5. #75
    Guru Аватар для bigral
    Регистрация
    12.07.2006
    Адрес
    г. Киев, Украина
    Сообщений
    2,147
    Спасибо Благодарностей отдано 
    25
    Спасибо Благодарностей получено 
    95
    Поблагодарили
    82 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Hunta Посмотреть сообщение
    Да, поправка, SDRAM. Замыленная голова уже была.
    В принципе, в логике работы уже более менее разобрался, но прикол в том, тактовый генератор для проца в этом проекте реализован в модуле работы SDRAM. Поэтому - типа никаких ожиданий. А если присобачивать классический - надо в модуль проца вставлять ожидание чтения записи памяти. А модуль проца там ещё та загогулина - в нем разобраться - не один вечер.
    На скоко я понял бывают схемы:
    1) ассинхрононная без ожиданий (ну типа SRAM или мультипортовый SRAM);
    2) синхронная без ожиданий (но тогда есть timeslots - скоординированный поочередный доступ);
    3) ассинхронная с ожиданиями;

    и SDRAM и DRAM требуют refresh-а а потому могут работать только по 2 и 3 варианту

  6. #76
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Непонятность, вырезанная с корнем - нажатие на кнопку Reset сбрасывало ЦП, но почему то на этот сигнал не реагировал проц модуля VT - из за этого (но не понятно почему) часто зависала работа с клавиатурой. Сделал отдельный Reset для модуля VT - стало работать в этом плане стабильно.

    Ещё одно ковыряние в проекте - и теперь (ТТТ) вроде как после сборки он начал запускаться (не возникает ошибки 000000) стабильнее. Запустил синтез для проверки - до этого попытка выставить скорость на последовательных портах в 38400 гарантированно приводило к этой ошибке. Ну-с, посмотрим, что будет в этот раз.

    - - - Добавлено - - -

    Ну надо же

    - - - Добавлено - - -

    Ну раз пошла такая пьянка - попробую ещё одно изменение, которое гарантированно вызывало 000000

    - - - Добавлено - - -

    Нее, этот фокус не прошёл... - подключить inout сигналы напрямую на top level пины - даже синтезатор ругаиЦЦа...
    Последний раз редактировалось Hunta; 30.04.2018 в 13:08.

  7. #76
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #77
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Почти вся работа с TOP модулем для DE10-Standard закончена.
    Осталось только дождаться сетевого модуля и прикрутить-проверить его.

    Много мистики - из разряда - две строчки переставляем местами - и опаньки - проблема в работе.

  9. #78
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Похоже, зря грешил на модуль поддержки SDRAM. Для того, что бы понять - он или не он - приделал индикатор тактового сигнала (который для процов генирирует модуль SDRAM) - в общем, пока он у меня моргал всегда, не смотря ни на какие проблемы. И судя по тому, что проц из модуля VT работает вполне ничего себе (но у него своя сгенерённая память) - причина кроется где то в основном ЦП. Бум думать и смотреть. И ждать плату сетевухи

  10. #79
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Поскольку идея о не запуске тактового генератора от модуля SDRAM провалилась (ну работает эта зараза всегда) - полез разбираться в модуль CPU

  11. #80
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Как записать 16-ти битный вектор в восьмеричной нотации - 16o"165020"
    Требуется компилятор, поддерживающий VHDL 2008

Страница 8 из 86 ПерваяПервая ... 456789101112 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. PDP-11/83 -- дошли руки...
    от form в разделе ДВК, УКНЦ
    Ответов: 470
    Последнее: 27.04.2021, 15:22
  2. Эмуляторы PDP-11
    от form в разделе ДВК, УКНЦ
    Ответов: 99
    Последнее: 15.03.2021, 14:53
  3. посьба к ГУРУ pdp-11
    от bigral в разделе ДВК, УКНЦ
    Ответов: 11
    Последнее: 13.09.2014, 23:53
  4. PDP-11 литература
    от bigral в разделе ДВК, УКНЦ
    Ответов: 2
    Последнее: 26.03.2013, 07:54

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •