Важная информация

User Tag List

Страница 11 из 86 ПерваяПервая ... 789101112131415 ... ПоследняяПоследняя
Показано с 101 по 110 из 856

Тема: PDP-11 на FPGA

  1. #101
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Продолжаю пытаться отделить модуль ОЗУ, что бы он тоже был как устройство на шине uni(q)bus.. Частично уже что то есть. Самое главное - после того, как процессор перестал тактироваться от DRAM он запускается ВСЕГДА ТТТ, по крайне мере пока вылета на ошибку 000000 не было

  2. #102
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Прикольно работает первая версия Читаешь, скажем, ячейку 0, а там... 0 (а не 123456, которые в коде), второй раз читаешь - и вот они - заветные 123456 Чуть чуть не синхронно - и труляля

  3. #103
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ну понятно, почему автор не хочет дизайн работы с памятью переделывать... Блин..

  4. #104
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Муха-бляха! Кааааааак же не хватает последовательного выполнения операторов!! Единственный способ, который пока знаю - state machine + clock...

  5. #105
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Первый синтез ОЗУ (пока синхронный, но выдаёт сигнал типа ACK, что память выдала данные на шину), который работает...
    Последний раз редактировалось Hunta; 29.05.2018 в 18:15.

  6. #106
    Guru
    Регистрация
    02.03.2015
    Адрес
    г. Караганда, Казахстан
    Сообщений
    2,321
    Спасибо Благодарностей отдано 
    35
    Спасибо Благодарностей получено 
    225
    Поблагодарили
    177 сообщений
    Mentioned
    17 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Так естественно, если клоки разные, ACK обязателен.
    Кто мешает тебе выдумать порох непромокаемый? (К.Прутков, мысль № 133)

  7. #106
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #107
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от AFZ Посмотреть сообщение
    Так естественно, если клоки разные, ACK обязателен
    В исходном дизайне проц тактируется от (sdram) памяти, поэтому никаких подтверждений - когда процу надо - память готова. К сожалению, мы живём не в идеальном мире и есть задержки и время смены сигнала - я подозреваю, что поэтому часто получаю нестартующий результат синтеза. Моя первая цель - сделать работающими независимо проц и sdram память - поэтому и нужно подтверждение. Пока у меня дизайн на синтезированной памяти и без задержек - теперь буду пробовать добавить (искусственные) задержки. Отработаю дизайн и синтез - буду подключать реальную память.
    Второй результат - в такую синтезированную память можно дизайном загнать любое содержимое - например - тесты или сделать её как ПЗУ. Автор это дело использует для проверок, модуля видеотерминала и сетевухи. Проблема в том, что он расписывает содержимое памяти а) в виде двух блоков - отдельно чётные, отдельно нечётные байты и б) в шестнадцатеричном виде - делая загон содержимого памяти трудным (он листинг специально написанной прогой обрабатывает) и нечитабельным для труПиДиПишника. Я сделал так, что бы это были 16-ти битные слова и в восьмеричной кодировке - правильно сгенерированный листинг MACRO-11 будет достаточно слегка подрихтовать FAR-ом - и он будет читабельным

  9. #108
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Сделал с задержкой. State machine наше всё для последовательного выполнения... Плюс clock, есссвенно
    Пока не получается выставлять готовность памяти только по фронту такта, по спаду - Квартус сопротивляиица, а у меня не хватает знаний-идей, как преодолеть...

    - - - Добавлено - - -

    Поставил 50 МГц процу, 140 МГц памяти (пока синтезированной). Буду посмотреть, что на выходе - минут через 20-30

    - - - Добавлено - - -

    Глюкает на 140 Попробую 100

    - - - Добавлено - - -

    На 100 вроде работает Но по хорошему - надо тест загонять

  10. #109
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А ещё надо научиться делать буфер.. Куда сохранять прочитанное (или записываемое) на время действия сигнала - хочу читать - со стороны проца.. ну или там dma

  11. #110
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Попробовал - АфДруГ - подцепить SDRAM В общем - единицы с шины данных я читать умею Не больше и не меньше

Страница 11 из 86 ПерваяПервая ... 789101112131415 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. PDP-11/83 -- дошли руки...
    от form в разделе ДВК, УКНЦ
    Ответов: 470
    Последнее: 27.04.2021, 15:22
  2. Эмуляторы PDP-11
    от form в разделе ДВК, УКНЦ
    Ответов: 99
    Последнее: 15.03.2021, 14:53
  3. посьба к ГУРУ pdp-11
    от bigral в разделе ДВК, УКНЦ
    Ответов: 11
    Последнее: 13.09.2014, 23:53
  4. PDP-11 литература
    от bigral в разделе ДВК, УКНЦ
    Ответов: 2
    Последнее: 26.03.2013, 07:54

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •