Важная информация

User Tag List

Страница 15 из 86 ПерваяПервая ... 111213141516171819 ... ПоследняяПоследняя
Показано с 141 по 150 из 856

Тема: PDP-11 на FPGA

  1. #141
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,964
    Спасибо Благодарностей отдано 
    284
    Спасибо Благодарностей получено 
    626
    Поблагодарили
    527 сообщений
    Mentioned
    12 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Посмотрел, подумал - откатил изменения, пока занят более простыми правками кода.
    Сейчас под раздачу попали модули MMU и Unibus - объединяю сигналы в них (а также в тех модулях, которые ими пользуются)
    Пока ТТТ

  2. #142
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,964
    Спасибо Благодарностей отдано 
    284
    Спасибо Благодарностей получено 
    626
    Поблагодарили
    527 сообщений
    Mentioned
    12 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Хм.. Перепахал прилично, но синтезируемое пока держится

    Занят интеграцией сигнала типа DataReady (пока от памяти) с процессором и Bus Master Device-ами (пока это RK, RL, RH и XU, причём контроллер RH, зараза, ещё и напрямую (в варианте RH70) общается с памятью).

    Для проверки интеграции с процессором есть хороший подопытный контроллер - терминал VT (у него, в редакции автора, внутри своя PDP и синтезируемая память ) По аналогичному устроен и XU, но его работоспособность заметить сложней

  3. #143
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,964
    Спасибо Благодарностей отдано 
    284
    Спасибо Благодарностей получено 
    626
    Поблагодарили
    527 сообщений
    Mentioned
    12 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ну, подцепил блок памяти. Пока ещё не совсем правильно (бита готовности нет), но - работает
    Двигаемся дальше
    Хм.. К основному PDP что ли подцепить...

  4. #144
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,964
    Спасибо Благодарностей отдано 
    284
    Спасибо Благодарностей получено 
    626
    Поблагодарили
    527 сообщений
    Mentioned
    12 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Почесав всё почесуемое, до чего смогли дотянутся руки, решил всё таки добавить к основной PDP память (пока генерируемую в FPGA), так как это позволит проводить более глубокое тестирование того, что успел наворотить.

    В общем, за остаток вечера и утро, успел добавить модуль памяти, прописать (пока) код для чтения, сгенерировать и проверить, что а) синтез приводит к работающему устройству (да, и основной проц и проц в VT запустились и работают) и что попытка чтения не приводит к эксцессам. Вестимо, что проверить - а действительно ли оно читается или модуль озу только делает вид - пока (до реализации записи) не представляется возможным.

    Вечером попробую добить запись и протестировать уже более плотно. После того, как память заработает - можно будет грузить RT и тесты, например, с RK

  5. #145
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,964
    Спасибо Благодарностей отдано 
    284
    Спасибо Благодарностей получено 
    626
    Поблагодарили
    527 сообщений
    Mentioned
    12 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    С утра точно читалось не то - с сонных глаз перепутал вход и выход Пытался с входа читать

    Блин.. Что то не даётся никак память. Придётся лезть Signal Tap-ом.. А с ним каждая попытка - это час
    А без памяти не проверишь - чего там я ещё успел наворотить...

  6. #146
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,964
    Спасибо Благодарностей отдано 
    284
    Спасибо Благодарностей получено 
    626
    Поблагодарили
    527 сообщений
    Mentioned
    12 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Не прошло и полгода (а если точнее - чуть-чуть меньше четырёх месяцев, как узнал про очередной полезный стрУмент - RTL Viewer
    Теперь можно увидеть микросхемы синтезированной PDP

  7. #147
    Master
    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    827
    Спасибо Благодарностей отдано 
    34
    Спасибо Благодарностей получено 
    128
    Поблагодарили
    108 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Я конечно понимаю что альтера "наше всё", но всё ж предложу почитать (и посмотреть мультики)
    https://www.xilinx.com/products/desi...ultrafast.html
    ug949.pdf что то типа "торы" для разработчика. (правда это уже левел 80 экспиренса нужно)
    И может быть "открою глаза" на то что есть "чипскоп" это типа очень умного осцилографа/логического анализатора содержимого плисы. У альтеры есть аналогичный инструмент. (да и симулятор ModelSim тож полезен).
    Сорри, если это уже известно.
    ПС: жарко, путаю слова...

  8. #148
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,964
    Спасибо Благодарностей отдано 
    284
    Спасибо Благодарностей получено 
    626
    Поблагодарили
    527 сообщений
    Mentioned
    12 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от AlexG Посмотреть сообщение
    чипскоп"
    Signal Tap?

  9. #149
    Master
    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    827
    Спасибо Благодарностей отдано 
    34
    Спасибо Благодарностей получено 
    128
    Поблагодарили
    108 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Йа Йа натюрлих. Он самый

  10. #150
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,964
    Спасибо Благодарностей отдано 
    284
    Спасибо Благодарностей получено 
    626
    Поблагодарили
    527 сообщений
    Mentioned
    12 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ну им то я уже достаточно давно пользую. Бесит только необходимость перекомпиляции...
    Цитата Сообщение от AlexG Посмотреть сообщение
    Я конечно понимаю что альтера "наше всё",
    Просто она, а точнее - Cyclone мне первый под руку подвернулся И пока оно мне нужно только под один конкретный проект - я его пытаюсь допились, я на нём и учусь. Из за нехватки времени, так же потому как я так лучше запоминаю - методом - Аффтар, убейся ап стенку Когда очередной кусок осваиваю - в учебники заглядываю
    Никак руки до симулятора толком не дойдут

    Но сильнее всего тормозит (хотя и учит) - стиль написания кода автора. Уже не раз налетал - пока аккуратно текст не отформатирую - ничё не понятно

    В общем, по мере свободного времени движусь вперёд

Страница 15 из 86 ПерваяПервая ... 111213141516171819 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. PDP-11/83 -- дошли руки...
    от form в разделе ДВК, УКНЦ
    Ответов: 470
    Последнее: 27.04.2021, 15:22
  2. Эмуляторы PDP-11
    от form в разделе ДВК, УКНЦ
    Ответов: 99
    Последнее: 15.03.2021, 14:53
  3. посьба к ГУРУ pdp-11
    от bigral в разделе ДВК, УКНЦ
    Ответов: 11
    Последнее: 13.09.2014, 23:53
  4. PDP-11 литература
    от bigral в разделе ДВК, УКНЦ
    Ответов: 2
    Последнее: 26.03.2013, 07:54

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •