Важная информация

User Tag List

Страница 23 из 86 ПерваяПервая ... 192021222324252627 ... ПоследняяПоследняя
Показано с 221 по 230 из 856

Тема: PDP-11 на FPGA

  1. #221
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Повоевал с клоками... Что то мне не нравится, что у меня (или у PLL) получается на выходе PLL. Вроде ставлю скважность 50, а Signal Tap показывает всякую хрень со скважностью. Или может он врёт... Пока не понял. А осциллографа посмотреть - нету. В общем, пока плюнул и сделал клоки кодом. По крайне мере со скважностью и фазой всё нормально. Или мне опять Signal Tap врёт

    Сегодняшний вечер посвящён борьбе в BRAM (в проекте их штук). Стоит только на секунду отвернутся - хлоп - и синтезатор вместо BRAM собирает на регистрах. А опыт показывает, что попытка собрать RAM на регистрах (помимо улетающих LE) приводит чаще всего к нестартующему синтезу.

    В общем, аккуратно вычистил, что бы все блоки стали BRAM (кроме основной оперативки - чего то я опять напортачил и синтез вообще не пойми чего с ней делает - в регистрах столько не уместишь и блоков памяти тоже как то маловато используется - ну и PDP гутарит - нет у тебя оперативки - но этим я займусь позже). Запустил синтез проверить.

    И кстати - ещё наблюдение - когда с BRAM всё тип-топ - синтез идёт всего минут 15 А я только к 30-ти привык...

    Пока как то так

    С Time Quest пока разбираюсь. То есть я понимаю, когда показатели плохие - но что с этим делать - пока опыта не хватает

  2. #222
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Из переменных новостей.

    Продолжается война с клоками. Помимо моих кривых (пока) ручек, оказалось, что и у автора с клоками полный атас. Прошерстил его привязки к клокам. Как ни странно, но после этого синтез заработал сразу (ну из тех модулей, которые я пока проверить могу). Но война с клоками продолжается.

    Из хороших новостей.

    Автор весьма "элегантно" делал память (и ROM и RAM) с предустановленным содержимым (типа загрузочных ПЗУ у PDP и ОЗУ с firmware у VT и XU) - собственно чего и была моя первая войнушка с попыткой описать 16-битный ROM/RAM с байтовым доступом и отображением на BRAM - я хотел описать и содержимое. В виде слов, а в не в виде двух байтовых блоков с непойми с чем в них для tru PDP-шника. Был бы 16-ти битный массив - я мог бы и "читать" его и подправлять быстро.

    Ну.. тогда счёт был 1:1 - описать 16-ти битное отображение на BRAM - да, байтовый доступ - хрен. Или чего то наподобие (давно было, надо старые версии смотреть - что бы точнее сказать) с результатом - нэ взлэтэло.

    Плюнул тогда - мне проблем и с клоками хватает.

    Сегодня я зашёл с другой стороны.

    Через мегафункцию можно не только описать блок памяти в BRAM, но и указать инициализирующий файл. Попробовал. Пока не всё получилось, но - главное - 16-ти битная память (ROM или RAM), байтовый доступ и инициализирующий файл в виде (та-да-да-дам!) 16-ти битных восьмеричных слов. С возможностью указания - из какого файла грузить

    Осталось заставить её работать Опять гнусные клоки выползли

    Но главное - в сигнал тапе видно, что читаются правильные слова

    Потом слегка подрихтую сгенерённое мегафункцией, что бы можно было через параметр указать - тип памяти, размер и ини-файл. И тогда будет проще проводить внутреннее тестирование А то у меня сейчас главный тестировщик - VT - если он не завёлся - крупно накосячил

  3. #223
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вчера был первый почти успешный вариант дизайна То есть проц с ПЗУ стартует и даже общается с консолью, хотя и с некоторыми глюками.

    Значительная часть проблем - из за особенностей дизайна проца от автора. Чего стоит, например, вариант, когда, прочитав первое слово команды (которая, скажем, косвенно через регистр читает ячейку из ПЗУ), проц, не снимая никаких сигналов, просто выставляет новый адрес и ждёт ответа

    Пока не хочу трогать дизайн проца и пытаюсь обрабатывать в модуле ПЗУ и такой вариант. В целом - оно как бы неплохо, потому что позволяет быстрее читать или писать Но нужно очень точно выдерживать порядок приёмы и выдачи сигналов.

  4. #224
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Я таки её достал Первый вариант, который работает - и пока не к чему придраться
    Делалось с прицелом, что все устройства на шине могут работать с разной тактовой частотой. Сейчас у проца 25 МГц, ROM - 50 МГц.
    Теперь буду пробовать гонять в разных вариантах

  5. #225
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Переделал На выходе тоже рабочий вариант
    Пробую увеличить тактовую памяти - до 100 МГц

  6. #226
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Сегодня с VHDL не работал Сегодня работал с листингами MACRO-11 - поскольку из них делается прошивка для ROM и RAM Рисовал скрипт, который из листинга делает MIF файл. Пока ещё в процесс

  7. #226
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #227
    Guru
    Регистрация
    02.03.2015
    Адрес
    г. Караганда, Казахстан
    Сообщений
    2,321
    Спасибо Благодарностей отдано 
    35
    Спасибо Благодарностей получено 
    225
    Поблагодарили
    177 сообщений
    Mentioned
    17 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А почему не из OBJ/LDA/SAV? Я бы делал из LDA.
    Кто мешает тебе выдумать порох непромокаемый? (К.Прутков, мысль № 133)

  9. #228
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от AFZ Посмотреть сообщение
    А почему не из OBJ/LDA/SAV?
    А зачем? Есть исходники, транслируем - извлекаем из листинга. С остальными возни больше.

  10. #229
    Activist
    Регистрация
    17.04.2011
    Адрес
    Санкт-Петербург
    Сообщений
    324
    Спасибо Благодарностей отдано 
    32
    Спасибо Благодарностей получено 
    200
    Поблагодарили
    48 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Hunta Посмотреть сообщение
    А зачем? Есть исходники, транслируем - извлекаем из листинга. С остальными возни больше.
    С остальным возни в разы меньше. В том же объектном модуле все коды уже лежат готовыми кусками - собираем их в буфер, кое-где подправляем относительные адреса на основании RLD-записей, и готово. Я в свое время за пару часов написал программку, делающую бинарник из объектyого модуля формата RT-11, просто почитав книжку File Formats из комплекта документации по rt11. А из бинарника получается MIF одной командой srec_cat.
    Как раз делал терминал VT52 для этого самого pdp2011, взамен авторского убожества blockramxx.

  11. #230
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,994
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от forth32 Посмотреть сообщение
    С остальным возни в разы меньше.
    У меня большой опыт парсинга текстовых файлов и всё, что нужно - powershell. Плюс при парсинге листинга я могу в mif вставить исходник - что позволяет мелкий ремонт делать прямо в mif-е. Попробуйте тоже самое сделать с двоичными файлами.

Страница 23 из 86 ПерваяПервая ... 192021222324252627 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. PDP-11/83 -- дошли руки...
    от form в разделе ДВК, УКНЦ
    Ответов: 470
    Последнее: 27.04.2021, 15:22
  2. Эмуляторы PDP-11
    от form в разделе ДВК, УКНЦ
    Ответов: 99
    Последнее: 15.03.2021, 14:53
  3. посьба к ГУРУ pdp-11
    от bigral в разделе ДВК, УКНЦ
    Ответов: 11
    Последнее: 13.09.2014, 23:53
  4. PDP-11 литература
    от bigral в разделе ДВК, УКНЦ
    Ответов: 2
    Последнее: 26.03.2013, 07:54

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •