Важная информация

User Tag List

Страница 12 из 86 ПерваяПервая ... 8910111213141516 ... ПоследняяПоследняя
Показано с 111 по 120 из 856

Тема: PDP-11 на FPGA

  1. #111
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Продолжаю трах... пытаться связать проц и SDRAM

  2. #112
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Нуу... просто разглядывание написанного ничего не даёт. В код автора попалась директива - как сохранить сигнал от исчезновения или переименования в процесс оптимизации. Учусь пользоваться Signal Tap-ом

  3. #113
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Прикольная штуччччка Умеет группировать сигналы и показывать в шестнадцатеричном виде Посмотрел на прерывание по вектору 4

    - - - Добавлено - - -

    Ура! Не только в шестнадцатеричном - но и в восьмеричном тоже

    - - - Добавлено - - -

    Плюс этой платы - не нужен осциллограф или логический анализатор
    Минус этой платы - на синтез уходит минут 30-40
    Плюс этой платы - при написании кода надо думать
    Минус этой платы - при написании кода надо думать "параллельно"

    (почесал нос) когда придумаю ещё - напишу

    - - - Добавлено - - -

    Правило номер 0.
    Внутри FPGA двунаправленных сигналов нет. Они есть только снаружи. Поэтому - на входе разделять, на выходе соединять, внутри - только однонаправленные.

  4. #114
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ндя... с синтезированной памятью я крепко лопухнулся Оказывается, что бы синтезатор мог использовать встроенные блоки памяти (и не использовать логические элементы) - надо или делать строго так как надо или использовать IP Core Ну попробовал я сделать память на 32 Кб - квартус отказался - типа - нету у тебя столько LE. Попробовал 16 Кб (сильно удивившись от предыдущего) - он пыхтел всю ночь (порядка 7 часов), пытаясь распихать.. И мне надоело - как говорится - если всё сломал - пора читать инструкцию Пробую свой первый дизайн (не SDRAM) перевести на блоки памяти

  5. #115
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Млин... чем больше читаю, тем больше нихера не понятно. Это я про process.. Придётся сделать специально проект для изучения process..

  6. #116
    Guru
    Регистрация
    02.03.2015
    Адрес
    г. Караганда, Казахстан
    Сообщений
    2,321
    Спасибо Благодарностей отдано 
    35
    Спасибо Благодарностей получено 
    225
    Поблагодарили
    177 сообщений
    Mentioned
    17 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Hunta Посмотреть сообщение
    Придётся сделать специально проект для изучения process..
    Когда окончательно озвереешь от FPGA, достань из кладовки ту самую платку с SFM32F407 и запусти на ней пример от Чана, а то я близок к завершению своего макета. У Чана пример сделан для STM32F100, да еще и не доведен до конца (и еще он на CooCox'е), надо бы все это аккуратно спортить под Кейл и под F407.
    Кто мешает тебе выдумать порох непромокаемый? (К.Прутков, мысль № 133)

  7. #117
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от AFZ Посмотреть сообщение
    достань из кладовки ту самую платку с SFM32F407
    Не поверишь - на столе лежит Вместе с жк-экранчиком И есть один нюанс - много кода от Gotek-а должно подойти Я сначала пробовал Gotek-овский проект загнать в Keil, но чего-то он слишком много мата вывалил и тогда я раскрутил скрипты, которыми автор Gotek-а собирает, даже пробовал свой код писать туда В общем, не то, чтобы проект активно развивался, но он отнюдь и не заброшен. Даже кака никака макетка для Кванта приобретена

    Цитата Сообщение от AFZ Посмотреть сообщение
    Когда окончательно озвереешь от FPGA
    На самом деле, не всё так плохо - только надо бы всё таки найти хороший учебник. А то пока больше методом ненаучного тыка. Хотя он приводит к железобетонной, отлитой из гранита памяти

    - - - Добавлено - - -

    Цитата Сообщение от AFZ Посмотреть сообщение
    достань из кладовки
    Гы Вторая её сестра действительно в кладовке лежит Со всяким барахлом для прототипирования - типа хлебных досок
    Последний раз редактировалось Hunta; 09.06.2018 в 21:07.

  8. #118
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Сброс, тактовый генератор (Полноскоростной и 0.5Гц-овый и переключение между ними) в совокупности работали не правильно.

    Поправил.

    Вроде теперь без проблем запускается как при прошивке, так и при сбросе, как при начальном режиме Полноскоростной, так и при 0.5Гц-овый.

    За исключением переключения с полной скорости в 0.5 Гц-овый режим (дребезг переключателей) и режим Выполнить Одну Команду и Ждать - но это не сейчас, как-нибудь.

    Теперь учусь в Signal Tap ставить триггер запуска. Вроде на первый взгляд все ок, но при запуске пишет - пре-триггерный захват.. Из за этого не могу увидеть, что происходит сразу после сброса (как при прошивке, так и при нажатии кнопки Reset).

    Продолжаю чесать репу...

  9. #119
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ну.. На быстром (50 МГц) клоке триггер запуска срабатывает. А если поставить медленный (0.5 Гц) - жилище северо-американского коренного жителя. В принципе - уже неплохо - когда буду опять пробовать память подключать - меня больше скоростной режим и интересует. На крайняк (что бы увидеть, чего творится) - можно будет специализированную микро-пзу-ху залепить.

    Прикольный момент.

    У автора модуль процессора выставляет адрес чтения инструкции из памяти и только на следующем клоке - сигнал чтения. Но модуль типа-ПЗУ выдаёт данные уже на первом клоке. Неправильные (установлен 15 бит). И только на втором клоке (когда прилетает сигнал чтения) - данные становятся правильные. Уже даже любопытно - какого??

  10. #120
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Какого какого. Типа - UNIBUS и QBUS - шины с ОК плюс оригинальный дизайн автора. Почикать надо

Страница 12 из 86 ПерваяПервая ... 8910111213141516 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. PDP-11/83 -- дошли руки...
    от form в разделе ДВК, УКНЦ
    Ответов: 470
    Последнее: 27.04.2021, 15:22
  2. Эмуляторы PDP-11
    от form в разделе ДВК, УКНЦ
    Ответов: 99
    Последнее: 15.03.2021, 14:53
  3. посьба к ГУРУ pdp-11
    от bigral в разделе ДВК, УКНЦ
    Ответов: 11
    Последнее: 13.09.2014, 23:53
  4. PDP-11 литература
    от bigral в разделе ДВК, УКНЦ
    Ответов: 2
    Последнее: 26.03.2013, 07:54

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •