Важная информация

User Tag List

Страница 6 из 86 ПерваяПервая ... 2345678910 ... ПоследняяПоследняя
Показано с 51 по 60 из 857

Тема: PDP-11 на FPGA

  1. #51
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,003
    Спасибо Благодарностей отдано 
    287
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Последнее, что осталось сделать в рамках исходного проекта - подцепить адаптер Ethernet.

    К сожалению, из-за всего двух пар питания (одна +5В, вторая +3.3В), отсутствия проводов разветвителей и отсутствия (пока, по крайне мере) желания их делать - буду делать на хлебной доске Переподключил адаптер SD, проверил работоспособность.

    Теперь - подключение Ethernet адаптера и описание его пинов для Циклона.

    - - - Добавлено - - -

    Провода развёл, сигналы расписал, синтез запустил.

  2. #52
    Guru Аватар для CodeMaster
    Регистрация
    26.04.2009
    Адрес
    г. Воронеж
    Сообщений
    6,255
    Спасибо Благодарностей отдано 
    148
    Спасибо Благодарностей получено 
    214
    Поблагодарили
    185 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Hunta Посмотреть сообщение
    В продаже 568 моделей, с VGA разъёмом - 399
    И это без учёта всяческих конвертеров видео на Али за копьё.
    "Во времена всеобщей лжи говорить правду - это экстремизм" - афоризм.

  3. #53
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,003
    Спасибо Благодарностей отдано 
    287
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ну, старая, добрая, непонятная ошибка, когда после загрузки кода запускается только VT, а основной проц стоит на 000000

    - - - Добавлено - - -

    Цитата Сообщение от CodeMaster Посмотреть сообщение
    И это без учёта всяческих конвертеров видео
    У меня FPGA, на котором я могу собрать что угодно из ретро-компов и вывести на VGA - на фига мне конвертеры?

    - - - Добавлено - - -

    Вторая попытка

    - - - Добавлено - - -

    И снова жопель Лана, бум потихоньку двигаться Попытки три ещё сегодня успею сделать, может четыре

    - - - Добавлено - - -

    Помнится, когда я выход на сом делал где то примерно на тех же разъёмах - оно тоже сопротивлялось. Переставил выше. Синтез

    - - - Добавлено - - -

    Не знаю, почему так, не знаю, будет ли этот способ работать всегда - но вроде я нащупал - как обходить любимую ошибку. Почему то, если внешние пины напрямую подключать к синтезируемым компонентам (например, сейчас - к синтезируемому XU) - хороший шанс словить незапуск. Так же было при первых попытках подключить VGA. Описал сигналы, пины подключил к сигналам, а уже сигналы завёл на интерфейс компонента - и вуаля - мы снова щастливы, мы снова работаем. Правда, аутоконфиг RSX XU не нашёл. Фиг пока с ним. Запустил стандартный тест - полный SYSGEN. Чё получится - проверю утром - а щас - спатькать.

    - - - Добавлено - - -

    Адреса XU, по крайне мере, откликаются. Похоже завтра буду мучать модуль TCP/IP для RSX

  4. #54
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,003
    Спасибо Благодарностей отдано 
    287
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Собрал систему с драйвером XE: (XU и XE - одно и тоже устройство для шин QBUS и UNIBUS соответственно, ЕМНИП). Система по крайне мере в онлайн его переводит. Дальше нужна поддержка со стороны системы - или DECNET или BQTCP-TCPIP. Вечером

  5. #55
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,003
    Спасибо Благодарностей отдано 
    287
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    TCP/IP - PDP ждёт тебя Нус, приступим

  6. #56
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,003
    Спасибо Благодарностей отдано 
    287
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А ещё, млин!!!!! даже виртуальные провода нехило бы присоединять друг к дружке ))))))
    Запустил синтез

    - - - Добавлено - - -

    Сопротивляется.. может сетевуху не так подключил... может не знаю что..

    - - - Добавлено - - -

    В общем, пока сетевуха не завелась.. Как обычно - бум думать

  7. #57
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,003
    Спасибо Благодарностей отдано 
    287
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    У меня сетевой модуль - не тот, который был у автора. И похоже, нужно допиливание под мой....

  8. #58
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,003
    Спасибо Благодарностей отдано 
    287
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Приведу в рабочее состояние, так что бы XE(XU) был синтезирован, подключен и система не вставала на 000000. Маленькими шажками

    - - - Добавлено - - -

    Хе. Похоже дочери буду передавать наследство компьютерщика. Победила на конференции для школьников и студентов, который проводил аэрокосмический, а в Артеке мучает программированием роботов и преподов

  9. #59
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,003
    Спасибо Благодарностей отдано 
    287
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    О! Синтез дал новый вариант ошибки - теперь вообще не запустилось и на индикаторе вообще тишина

  10. #60
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,003
    Спасибо Благодарностей отдано 
    287
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Хм.. Похоже нашёл главную причину ошибки - Не Стартуем И На Индикаторе Все Нули. Автор сделал... как бы это помягче сказать... занимательно, что ли, генератор тактовой частоты для процессора - в качестве генератора выступает контроллер памяти (dram). В результате формально никаких ожиданий доступа к памяти Но иногда (похоже) контроллер памяти не заводится. А вот почему - херен его знать... Чего то похоже с таймингами работы его контроллера. Поставил на старте сигнал, который делает ДЛИННЫЙ РЕСЕТ, в 1. Синтез, который без этой установки давал Все Нули, с ней - завёл проц после загрузки вполне себе так ничего. Зырю дальше. Пока занят причёской кода - но косюсь и на контроллер Ethernet

Страница 6 из 86 ПерваяПервая ... 2345678910 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. PDP-11/83 -- дошли руки...
    от form в разделе ДВК, УКНЦ
    Ответов: 470
    Последнее: 27.04.2021, 15:22
  2. Эмуляторы PDP-11
    от form в разделе ДВК, УКНЦ
    Ответов: 99
    Последнее: 15.03.2021, 14:53
  3. посьба к ГУРУ pdp-11
    от bigral в разделе ДВК, УКНЦ
    Ответов: 11
    Последнее: 13.09.2014, 23:53
  4. PDP-11 литература
    от bigral в разделе ДВК, УКНЦ
    Ответов: 2
    Последнее: 26.03.2013, 07:54

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •