Важная информация

User Tag List

Страница 21 из 69 ПерваяПервая ... 171819202122232425 ... ПоследняяПоследняя
Показано с 201 по 210 из 688

Тема: Реплика ПК 11/16

  1. #201
    Activist
    Регистрация
    18.04.2015
    Адрес
    г. Люберцы
    Сообщений
    342
    Спасибо Благодарностей отдано 
    103
    Спасибо Благодарностей получено 
    31
    Поблагодарили
    26 сообщений
    Mentioned
    5 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Что мне ещё не нравится в схеме по мелочам:

    1) На разъемах памяти и в самой схеме планки памяти переименовали сигналы разрешения запись с двух разрядными индексами, хотя именно там меньше десяти сигналов. Т.е. там не нужно было делать ~WE_06_07, именно там нужно оставить ~WE_6_7. Так и меньше будет путаницы с такими же сигналами в основной схеме.

    2) По какой-то непонятной причине у КР1802ИР1 адресные входы AA0..AA3 показаны инверсными, а управляющие сигналы нет.

    3) Зачем у ВВ55 показы отдельно сигналы питания и соответствующей строки не оказалось в Power Table?

    4) У ВВ79 возвратные линии для наглядности то же лучше убрать в шину, как это сделали для памяти DMA контроллера диска. Причем назвать можно с номерами в восьмеричной системе, как это упоминается в ТО: RL001, RL002, RL004, RL010, RL020, RL040, RL100, RL200. А сигналы RL003, RL005, RL006 будут на вторых входах диодных сборок. Это надо же было заложить для клавиатуры с 88 клавишами спец. микросхему (наверняка дорогую и редкую), которая умеет сканировать максимум 64 клавиши, а тем, которым не повезло на диодах имитировать одновременное нажатие двух других клавиш?..

    5) На разъемах клавиатуры XS2-12 и XS1-9 не нужно дублировать названия сигналов KY1 и КХ3: согласно паспорту блока клавиатуры "Электроника МС7007", там на той стороне соединения нет - сигналы нужно называть по разному, т.е. KY12 и KX9.

    6) Эх, завести бы на два пина микросхемы D56 (V3) клок строчной развертки также как это сделано для D63 (P14), т.е. с шины тянуть один провод... (Я знаю, что перфекционизм может рассматриваться как заболевание )

    7) Туда же: отфильтрованные сигналы +5V1 и +5V2, - куда лучше было бы +5V_FLT и VBAT_FLT...

    8) Может и есть какой-то исторический интерес показать как ради улучшения разводки использовались неподключенные пины процессора (я про D1:B), но меня иногда вводит в ступор то, что это попало в netlist (зачем там VBAT и сигнал от ВВ55?). Если плата будет целиком переразводится, то это может только помешать... Разве что польза от этих пинов в плане лучшего охлаждения... Для меня загадка как сейчас отбирать 5 МГц процессоры, чтоб они работали стабильно на 8 МГц? Наверное в те времена можно было взять ящики микросхем и выбрать десяток подходящих на специальном стенде, а сейчас-то как?.. Почему вообще с разгоном схема, им что на Ангстреме обещали штатно такие чипы выпускать, да не смогли? Или это авантюризм в чистом виде?
    Последний раз редактировалось troosh; 03.08.2018 в 19:25. Причина: опечатка - лишнее "не"

  2. #202
    Master
    Регистрация
    06.09.2009
    Адрес
    Москва
    Сообщений
    551
    Спасибо Благодарностей отдано 
    8
    Спасибо Благодарностей получено 
    41
    Поблагодарили
    18 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    1.
    Цитата Сообщение от troosh Посмотреть сообщение
    На разъемы XS4 и XS5 (или только на один из них?), выведены проводами сигналы старших бит физадреса:
    1) IMG_2099.JPG - PHА17, PHА18, PHА19
    2) IMG_0088.JPG - PHА16, PHА18, PHА19
    - эти сигналы выведены на оба разъема.

    2. В связи с этим будем переименовывать цепи P3-F0 … P3-F7 (ИС D12 "P3")? Пока мы переименовали одну цепь так: P3-F7 => A21M_IOHWH.

    3.
    Цитата Сообщение от troosh Посмотреть сообщение
    Мне первый вариант больше нравится своей последовательностью, хотя логично было уж выводить сразу все четыре линии 16-19, да что уж гулять так гудя 16-21...
    - возможны любые варианты, пока сделаем вариант "1) IMG_2099.JPG - PHА17, PHА18, PHА19".
    Посмотрел плату: варианты 16-19 и 16-21 тоже легко сделать. Возможно, разработчиками что-то такое задумывалось, т.к. контакты A1, A3, B4 разъемов XS4, XS5 (как раз три контакта для полноты картины) на плате уже соединены между собой и так и просятся, чтобы их куда-нибудь подключили.

    4.
    Цитата Сообщение от troosh Посмотреть сообщение
    просьба прозвонить контакты B16 этих разъемов - в ТО указывается, что там должен быть заведен сигнал A06, а на схеме такого соединения нет
    Контакты B16 разъемов XS4 и XS5 на оригинальной плате не подключены, поэтому и на схеме нет. Это предлагаю исправить.

    5.
    Цитата Сообщение от troosh Посмотреть сообщение
    в ТО не упоминается -12В, а по схеме это напряжение завели
    - выполнено МГТФом на оригинале, поэтому и на схеме есть.

    ------------
    troosh, спасибо. Предложения из #215 рассмотрю и выполню позднее.
    Последний раз редактировалось Mdesk; 03.08.2018 в 11:06.

  3. #203
    Activist
    Регистрация
    18.04.2015
    Адрес
    г. Люберцы
    Сообщений
    342
    Спасибо Благодарностей отдано 
    103
    Спасибо Благодарностей получено 
    31
    Поблагодарили
    26 сообщений
    Mentioned
    5 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Mdesk Посмотреть сообщение
    2. В связи с этим будем переименовывать цепи P3-F0 … P3-F7 (ИС D12 "P3")? Пока мы переименовали одну цепь так: P3-F7 => A21M_IOHWH.
    Там вроде такое соответствие вырисовывается такое (сужу по ВУ1, где они не могли произвольно менять входы из-за реализации 20 битного инкремента адреса видеоуказателя):

    Код:
    P3-F0 => PHA14
    P3-F1 => PHA15
    P3-F2 => PHA16
    P3-F3 => PHA17
    P3-F4 => PHA18
    P3-F5 => PHA19
    P3-F6 => PHA20
    A21M_IOHWH => PHA21_IOHWH
    Плюс выходы ПЛМ-ки с микрокодом:

    Код:
    V7-V1 => SQ_S1
    V7-V2 => SQ_S0
    V7-V3 => ~SQ_ZA
    V7-V4 => ~SQ_FE
    V7-V5 => SQ_PUP_CI
    VPTRHL => ~VPTRHL
    VPTRLL => ~VPTRLL
    Забытые мной сигналы управления масками записей:

    Код:
    VA-F4 => MASK_M1
    VA-F5 => MASK_M0
    M1 M0
     0    0  - Стробы записей не активые (все загашены)
     0    1  - Стробы записей гасяться по тем полями, где пишут 2 нулевых бита в данных
     1    0  - Стробы записей гасяться по тем полями, где пишут 4 нулевых бита в данных
     1    1  - Стробы записи по всему слову/байту (гашений стробов по полям данных нет)
    Назвать бы прерывания в соответствии с назначением:
    Код:
    ~INIT => INT0-INIT
    INT1 => INT1-DSKS
    INT2 => INT2-RX
    INT3 => INT3-TX
    INT4 => INT4-KBD
    INT5 => INT5-RTC
    INT6 => INT6-EXTA
    INT7 => INT7-EXTB
    Также в ТО приведены обозначение всех сигналов на выводах ВВ55:

    Код:
    PRST => ~LPT-PRST
    PSTB => ~LPT-PSTB
    PSEL => ~LPT-PSEL
    PAFD => ~LPT-PAFD
    PA0 => PRST 
    PA1 => PSTB
    PA2 => PSEL
    PA3 => PAFD
    PA4 => SLCT
    PA5 => MSLK
    PA6 => MSRK
    PA7 => MSTB
    PB0 => EF0
    PB1 => EF1
    PB2 =>  IOINT
    PB3-PC2 => IHLT
    PB4 => LPT-PE
    PB5 => ~LPT-ERR
    PB6 => ~LPT-ACK
    PB7 => LPT-BUSY
    PC0 => RTC-KICK
    PC1 => RXD_INV (в P4 при "0" инвертирует сигнал RXD, по ТО: "1"- RS232, "0"- "токовая петля")
    PC4 => MSD0
    PC5 => MSD1
    PC6 => MSD2
    PC7 => MSD3
    Не собираетесь поставить стандартный 25-пиновый разъем для принтера?..

    Плюс ещё бы сделать такую косметику:
    Код:
    ~FL-CS => ~CS-FL
    ~HD-CS => ~CS-HD
    ~VN59-CS => ~CS-VN59
    ~VV51-CS => ~CS-VV51
    ~VV79-CS => ~CS-VV79
    NET00055 => ~CS-VV55 (по хорошему нужно подписать все ещё безымянные выводы ПЛМ-ок)
    NET00029 => ~CS-VI53D
    NET00030 => ~CS-VI53L
    Цитата Сообщение от Mdesk Посмотреть сообщение
    контакты A1, A3, B4 разъемов XS4, XS5 (как раз три контакта для полноты картины) на плате уже соединены между собой и так и просятся, чтобы их куда-нибудь подключили.
    ХЗ, может предполагалось, что вставленные две платы расширителя могут между собой общаться через эти сигналы.

    Цитата Сообщение от Mdesk Посмотреть сообщение
    5. - выполнено МГТФом на оригинале, поэтому и на схеме есть.
    Непонятно зачем им могло понадобиться напряжение -12В. Тут или просто дополнительные последовательные порты или какая-то экзотика где нужно питать операционные усилители (я голосую, кхе-кхе, за что-то вроде аналогового синтезатора, типа ячейки звукового синтеза 5/2 для Агатов).

  4. #204
    Member
    Регистрация
    06.01.2010
    Адрес
    Москва
    Сообщений
    180
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    33
    Поблагодарили
    13 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от troosh Посмотреть сообщение
    Там вроде такое соответствие вырисовывается такое (сужу по ВУ1, где они не могли произвольно менять входы из-за реализации 20 битного инкремента адреса видеоуказателя):

    Код:
    P3-F0 => PHA14
    P3-F1 => PHA15
    P3-F2 => PHA16
    P3-F3 => PHA17
    P3-F4 => PHA18
    P3-F5 => PHA19
    P3-F6 => PHA20
    A21M_IOHWH => PHA21_IOHWH
    Плюс выходы ПЛМ-ки с микрокодом:

    Код:
    V7-V1 => SQ_S1
    V7-V2 => SQ_S0
    V7-V3 => ~SQ_ZA
    V7-V4 => ~SQ_FE
    V7-V5 => SQ_PUP_CI
    VPTRHL => ~VPTRHL
    VPTRLL => ~VPTRLL
    Забытые мной сигналы управления масками записей:

    Код:
    VA-F4 => MASK_M1
    VA-F5 => MASK_M0
    M1 M0
     0    0  - Стробы записей не активые (все загашены)
     0    1  - Стробы записей гасяться по тем полями, где пишут 2 нулевых бита в данных
     1    0  - Стробы записей гасяться по тем полями, где пишут 4 нулевых бита в данных
     1    1  - Стробы записи по всему слову/байту (гашений стробов по полям данных нет)
    Назвать бы прерывания в соответствии с назначением:
    Код:
    ~INIT => INT0-INIT
    INT1 => INT1-DSKS
    INT2 => INT2-RX
    INT3 => INT3-TX
    INT4 => INT4-KBD
    INT5 => INT5-RTC
    INT6 => INT6-EXTA
    INT7 => INT7-EXTB
    Также в ТО приведены обозначение всех сигналов на выводах ВВ55:

    Код:
    PRST => ~LPT-PRST
    PSTB => ~LPT-PSTB
    PSEL => ~LPT-PSEL
    PAFD => ~LPT-PAFD
    PA0 => PRST 
    PA1 => PSTB
    PA2 => PSEL
    PA3 => PAFD
    PA4 => SLCT
    PA5 => MSLK
    PA6 => MSRK
    PA7 => MSTB
    PB0 => EF0
    PB1 => EF1
    PB2 =>  IOINT
    PB3-PC2 => IHLT
    PB4 => LPT-PE
    PB5 => ~LPT-ERR
    PB6 => ~LPT-ACK
    PB7 => LPT-BUSY
    PC0 => RTC-KICK
    PC1 => RXD_INV (в P4 при "0" инвертирует сигнал RXD, по ТО: "1"- RS232, "0"- "токовая петля")
    PC4 => MSD0
    PC5 => MSD1
    PC6 => MSD2
    PC7 => MSD3
    Не собираетесь поставить стандартный 25-пиновый разъем для принтера?..

    Плюс ещё бы сделать такую косметику:
    Код:
    ~FL-CS => ~CS-FL
    ~HD-CS => ~CS-HD
    ~VN59-CS => ~CS-VN59
    ~VV51-CS => ~CS-VV51
    ~VV79-CS => ~CS-VV79
    NET00055 => ~CS-VV55 (по хорошему нужно подписать все ещё безымянные выводы ПЛМ-ок)
    NET00029 => ~CS-VI53D
    NET00030 => ~CS-VI53L


    ХЗ, может предполагалось, что вставленные две платы расширителя могут между собой общаться через эти сигналы.



    Непонятно зачем им могло понадобиться напряжение -12В. Тут или просто дополнительные последовательные порты или какая-то экзотика где нужно питать операционные усилители (я голосую, кхе-кхе, за что-то вроде аналогового синтезатора, типа ячейки звукового синтеза 5/2 для Агатов).
    Относительно выводов P3. Назначение выходов зависит от того, обращаемся мы к определённой области регистров или к памяти:
    P3_F0 - A14/&O1612xx
    P3_F1 - A15/&O1614xx
    P3_F2 - A16/&O1611xx
    P3_F3 - A17/&O1610xx
    P3_F4 - A18/&O1615xx
    P3_F5 - A19/&O1613xx
    P3_F6 - A20/&O161xxx
    P3_F7 - A21/&O170000-173777, 1777xx
    БК 0010-01, МК-85М 16к, МК-90, МС-0511, МС-0530, Дельта С, Электроника КР-02, Поиск 1 (128kB->512kB->1MB), ПК8000 Сура

  5. #205
    Member
    Регистрация
    06.01.2010
    Адрес
    Москва
    Сообщений
    180
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    33
    Поблагодарили
    13 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    обновлённый файл со схемами

    Основные изменение коснулись V2, V4 и V9:
    В V2 добавлен один бит и соответствующий вход в схеме для секции V2_14 с целью исключить "наползания" V2_14 на V2_15.
    Возможно придётся изменить ещё один бит в секции ~CAS, т.к. ~CAS должен появляться с небольшой задержкой относительно появления младшей части адреса.

    В V4 в секциях V4_14 на V4_15 добавлены по одному биту, а в схеме соответствующие входы с целью формирования счётчика на 10 для получения 50Гц кадровой развёртки (кстати, аппаратно, похоже, 72Гц не реализованы)
    В V9 в секциях V9_14 на V9_15 добавлены по одному биту, а в схеме соответствующие входы с целью формирования на данных выходах соответственно 15625Гц и 62500Гц

    Также в файл добавлены времянные диаграммы для V1 и V2.
    БК 0010-01, МК-85М 16к, МК-90, МС-0511, МС-0530, Дельта С, Электроника КР-02, Поиск 1 (128kB->512kB->1MB), ПК8000 Сура

  6. #206
    Activist
    Регистрация
    18.04.2015
    Адрес
    г. Люберцы
    Сообщений
    342
    Спасибо Благодарностей отдано 
    103
    Спасибо Благодарностей получено 
    31
    Поблагодарили
    26 сообщений
    Mentioned
    5 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от pilgrim Посмотреть сообщение
    Относительно выводов P3. Назначение выходов зависит от того, обращаемся мы к определённой области регистров или к памяти:
    P3_F0 - A14/&O1612xx
    P3_F1 - A15/&O1614xx
    P3_F2 - A16/&O1611xx
    P3_F3 - A17/&O1610xx
    P3_F4 - A18/&O1615xx
    P3_F5 - A19/&O1613xx
    P3_F6 - A20/&O161xxx
    P3_F7 - A21/&O170000-173777, 1777xx
    Спасибо! Значит я был неправ на счет различий навесного монтажа - область внутренних регистров (O1610xx) не зачем выводить на внешние платы. Вот по результатам анализа ТО и архива rdc.zip у меня такая карта памяти получается в окошке 1610xx:

    Код:
    0161000 - piccsr i8259a
    0161002 - picmr
    0161004 - 
    0161006 - 
    0161010 - sndc0r  (i8253)
    0161012 - sndc1r  (в т.ч. скорость для UART-a, запись 0124 даст 2400 BPS)
    0161014 - sndc2r
    0161016 - sndcsr
    0161020 - snlc0r  (i8253)
    0161022 - snlc1r
    0161024 - snlc2r
    0161026 - snlcsr
    0161030 - ppia    (i8255a)
    0161032 - ppib (b.ef0=1 b.ef1=2 b.int=4)  (Суда вешают COVOX?)
    0161034 - ppic (c.irq=10 c.hlt=4)
    0161036 - ppip (i.hlt==200)
    0161040 - hd.buff ; data buffer  (КР1809ВГ7, WD1010-05, Intel 82062)
    0161042 - hd.err  ; wr. precomp cyl.
    0161044 - hd.scnt ; Sector count rg.
    0161046 - hd.snum ; Sector number rg.
    0161050 - hd.cnlo ; Cylinder addr lo.
    0161052 - hd.cnhi ; Cylinder addr hi.
    0161054 - hd.sdh  ; Sector:Disk:Head
    0161056 - hd.csr  ; Command & status reg
    0161060 - dlbuf  (i8251a)
    0161062 - dlcs
    0161064 - kbdbuf (i8279)
    0161066 - kbdcsr
    0161070 - fdcsr  (КР1810ВГ72А i8272a UM8272A uPD765A Z0765A08PSC/Z0765A08VSC)
    0161072 - fdbuf
    0161074 - 
    0161076 - fdcnt
    Вот в O1611xx засветился только внешний IDE контроллер - нужно его схему сопоставить с этими новыми знаниями (судя по всему PATA это по сути просто переползсший КР1809ВГ7 в сам жесткий диск, даже подумалось а нельзя ли вместо этого чипа сразу поставить разъём на CompactFlash карту с её IDE интерфейсом):
    Код:
    0161100 - 
    0161102 - 
    0161104 - 
    0161106 - 
    0161110 - 
    0161112 - 
    0161114 - id.irq  ; interrupt, 4th bit of the head number and software reset
    0161116 - 
    0161120 - id.buff ;  data buffer      (адреса регистров винта, WD1010-05??)
    0161122 - id.err  ;  wr. precomp cyl.
    0161124 - id.scnt ;  Sector count rg.
    0161126 - id.snum ;  Sector number rg.
    0161130 - id.cnlo ;  Cylinder addr lo.
    0161132 - id.cnhi ;  Cylinder addr hi.
    0161134 - id.sdh  ;  Sector:Disk:Head
    0161136 - id.csr  ;  Command & status reg
    0161140 - 
    0161142 - 
    0161144 - 
    0161146 - 
    0161150 - 
    0161152 - 
    0161154 - 
    0161156 - 
    0161160 - 
    0161162 - 
    0161164 - 
    0161166 - 
    0161170 - 
    0161172 - 
    0161174 - 
    0161176 -
    В окошке O1612xx сидит только менеджер памяти (а значит сигнал этот можно было бы назвать как-то так: PHA16/MMREGS):

    Код:
    0161200 - hr0
    0161202 - hr1
    0161204 - hr2
    0161206 - hr3
    0161210 - hr4
    0161212 - hr5
    0161214 - hr6
    0161216 - hr7
    0161220 - ur0
    0161222 - ur1
    0161224 - ur2
    0161226 - ur3
    0161230 - ur4
    0161232 - ur5
    0161234 - ur6
    0161236 - ur7
    0161240 - 
    0161242 - 
    0161244 - 
    0161246 - 
    0161250 - 
    0161252 - 
    0161254 - 
    0161256 - 
    0161260 - 
    0161262 - 
    0161264 - 
    0161266 - 
    0161270 - 
    0161272 - 
    0161274 - 
    0161276 -
    P.S. Но блин какие-то длинные обозначения получаются если скомбинировать наши подходы:
    P3-F2 => PHA16/&O1611xx.

    - - - Updated - - -

    Цитата Сообщение от dk_spb Посмотреть сообщение
    troosh, Дык я-то как раз об этом: "разобраться как работает" без работающей машины... Это как информатику учили используя ручку и тетрадку.
    [OFFTOP]Как раз я в вашем Питере так и учил систему команд i8080... Переписывая её в тетрадку из журналов "Радио", которые приносила работавшая в библиотеке женщина. Мы у неё жили с батей недельку, когда приехали к брату в ЛВЗРКУ (блин это было очень контрасно приехать из теплой Одесской области, где была плюсовая температура, в Ленинград, а там часы перед ж/д вокзалом показывали -25 градусов). Год был этак 1986, я учился в 6-м классе... До сих пор помню некоторые hex коды процессора 8080...[/OFFTOP]

  7. #207
    Activist
    Регистрация
    18.04.2015
    Адрес
    г. Люберцы
    Сообщений
    342
    Спасибо Благодарностей отдано 
    103
    Спасибо Благодарностей получено 
    31
    Поблагодарили
    26 сообщений
    Mentioned
    5 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от pilgrim Посмотреть сообщение
    В V4 в секциях V4_14 на V4_15 добавлены по одному биту, а в схеме соответствующие входы с целью формирования счётчика на 10 для получения 50Гц кадровой развёртки (кстати, аппаратно, похоже, 72Гц не реализованы)
    Что получить 72 Гц нужно вытащить две ПЛМ-ки V3 и V4 и установить туда с другими прошивками, тогда согласно ТО будет не 300 активных строк, а 200. Строчная частота при этом не изменяется! Зачем такой режим нужен был - не знаю, видимо, чтоб глаза меньше болели... Вот тут: http://www.музей-компьютеров.рф/museum/37/neon-4.jpg хорошо видны сокеты под эти ПЛМ-ки. Кроме того, потребуется замена системного ПЗУ, т.к. "**) режим 72 гц не имеет программной поддержки"

    P.S. Может это и так извесно, но на всякий случай описания наших ПЛМ-ок (точнее чипов, аналагами которых они являются):
    http://www.ti.com/lit/ds/srps016/srps016.pdf
    https://datasheet.octopart.com/N82S1...et-7280132.pdf

    - - - Updated - - -

    Цитата Сообщение от troosh Посмотреть сообщение
    Назвать бы прерывания в соответствии с назначением:
    Код:
    ~INIT => INT0-INIT
    INT1 => INT1-DSKS
    INT2 => INT2-RX
    INT3 => INT3-TX
    INT4 => INT4-KBD
    INT5 => INT5-RTC
    INT6 => INT6-EXTA
    INT7 => INT7-EXTB
    В документе Союз-Неон ПК-11/16 ВПО приведены такие названия прерываний:
    Код:
    вектора асинхронных прерываний
         (маска для запрета-разрешения прерывания, хранится  в  P.MASK
         дескриптора процесса):
    
    V.INIT	  - (М.INIT) сигнал INIT или инструкция RESET;
    V.FLOP	  - (М.FLOP) запрос НГМД/НЖМД;
    V.RCRD	  - (М.RCRD) готовность приёмника последовательного интер-
    		     фейса;
    V.TRRD	  - (М.TRRD) готовность передатчика последовательного  ин-
    		     терфейса;
    V.KBD	  - (М.KBD)  запрос от контроллера клавиатуры;
    V.SCRN	  - (М.SCRN) начало обратного хода кадровой развёртки;
    V.PRRD	  - (М.PRRD) готовность принтера;
    V.SLOT	  - (М.SLOT) запрос от разъёма	расширителя  блока  ввода-
    		     вывода;
    Можно какие-то названия и пояснения взять оттуда:
    Код:
    ~INIT => INT0-INIT  сигнал INIT или инструкция RESET
    INT1 => INT1-DSKS   запрос от НГМД/НЖМД
    INT2 => INT2-RX     готовность приёмника последовательного интерфейса
    INT3 => INT3-TX     готовность передатчика последовательного  интерфейса
    INT4 => INT4-KBD    запрос от контроллера клавиатуры
    INT5 => INT5-RTC    запрос от часов реального времени (частота задаётся
                                 программно из ряда 2, 4, 8, 16,.. 32768 Гц)
    INT6 => INT6-SLOT   запрос от разъёма расширителя блока ввода-вывода
    INT7 => INT7-SLOT   запрос от разъёма расширителя блока ввода-вывода
    [/QUOTE]

    Я до сих пор не понял, как же прерывание "SCRN начало обратного хода кадровой развёртки;" в схеме сделано и есть ли оно вообще.
    Очень похоже, что они вместо него завели прерывания от часов (RTC) и всё.. Но как,.. как без VSYNC-ка то?.. Это вызывает крайнюю озабоченность...
    Ну и где прервание от принтера - впрочем это уже мелочь.

    P.S. По часикам может быть вот эта страничка: http://zxbyte.ru/rtc_chips_in_zx.htm

  8. #208
    Moderator Аватар для BYTEMAN
    Регистрация
    11.01.2006
    Адрес
    Брест/Минск
    Сообщений
    8,394
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    179
    Спасибо Благодарностей получено 
    115
    Поблагодарили
    57 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Друзья, почему никто из занимающихся репликой не попробует запихнуть все это добро в симулятор? Писать параллельно по прошивкам вериложное описание всего добра, погонять в симуляторе, посмотреть где там такие дикие гонки что все плывет с прогревом... Там сразу много чего интересного можно увидеть... И по итогу и до железной фпгашки совсем недалеко.
    С уважением, Александр.
    Scorpion ZS-256 Turbo+ GMX-2048
    SID-Blaster/ZX
    Музей ретрокомпьютеров в Минске!
    Здесь ничего нет => http://byteman.by
    И здесь тоже --->>> http://bytespace.by

  9. #209
    Master Аватар для Woland
    Регистрация
    26.10.2011
    Адрес
    Москва
    Сообщений
    554
    Спасибо Благодарностей отдано 
    11
    Спасибо Благодарностей получено 
    22
    Поблагодарили
    15 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от dk_spb Посмотреть сообщение
    Поэтому файлики, которые Вы выложили, даже если бы и были без ошибок
    Дело в том, что файлики прошивок по большей части от Савчука - т.е. не гретые даже в бережных лабораториях немецких, а напрямую (спустя 25 лет) от разработчиков/монтажников Неона получены. Потому, объективно - ошибок в них должно быть ну никак не больше чем в тех, которые бережно выпаяны НАТОвцами. Это я говорю к тому, что условно успешный запуск Вашей реплики совершенно не обязательно означает полную корректность прошивок. Тем более, что сравнить их было не с чем. А у Савчука было по 2-3 экземпляра каждой прошивки, все не паяные ни разу - да и то среди них сходились не все (но большинство), потому превышающего доверия к паяным (пусть и бережно) прошивкам - объективно, быть не может. ХП4 - вообще битые сами по себе почти все после 20-ти лет хранения, такой чип каличный.

    Цитата Сообщение от dk_spb Посмотреть сообщение
    не факт что Вы эту схему запустите.
    Лично я просто монтажник и ничего самостоятельно запускать изначально не планировал. Даже реплику БК я запустил просто собрав (отладка не потребовалась). Понятное дело, с Неоном посложнее будет, но его отладкой занимаются теоретически, а далее будут заниматься практически те, кто это делать умеет.
    Возрождение БК0011М: pk-fpga.ru, forum.pk-fpga.ru
    Продажа электронных компонентов >>тут<<

  10. #210
    Master Аватар для Woland
    Регистрация
    26.10.2011
    Адрес
    Москва
    Сообщений
    554
    Спасибо Благодарностей отдано 
    11
    Спасибо Благодарностей получено 
    22
    Поблагодарили
    15 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от BYTEMAN Посмотреть сообщение
    Друзья, почему никто из занимающихся репликой не попробует запихнуть все это добро в симулятор? Писать параллельно по прошивкам вериложное описание всего добра, погонять в симуляторе, посмотреть где там такие дикие гонки что все плывет с прогревом... Там сразу много чего интересного можно увидеть... И по итогу и до железной фпгашки совсем недалеко.
    Мой разработчик (по проекту БК12) пытался чего-то там Неоноподобное сваять в vhdl на плате-прототипе БК12, но говорит для этого ему надо исходники эмулятора Неона изучить, а они как я понял не планируются к раскрытию, потому вряд ли он будет продолжать изыскания на эту тему.
    Возрождение БК0011М: pk-fpga.ru, forum.pk-fpga.ru
    Продажа электронных компонентов >>тут<<

Страница 21 из 69 ПерваяПервая ... 171819202122232425 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. реплика DLV11 581ва1 1002хл1
    от bigral в разделе ДВК, УКНЦ
    Ответов: 17
    Последнее: 08.11.2020, 01:37
  2. Реплика КМД УКНЦ
    от tnt23 в разделе ДВК, УКНЦ
    Ответов: 451
    Последнее: 26.06.2020, 15:07
  3. Реплика адаптера джойстика УКНЦ
    от MacBuster в разделе ДВК, УКНЦ
    Ответов: 58
    Последнее: 19.09.2018, 22:43
  4. MSX FDC реплика
    от dk_spb в разделе MSX
    Ответов: 39
    Последнее: 14.07.2012, 15:10
  5. Реплика КМД ДВК (MY)
    от KALDYH в разделе ДВК, УКНЦ
    Ответов: 19
    Последнее: 12.11.2009, 15:43

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •