Важная информация

User Tag List

Страница 3 из 7 ПерваяПервая 1234567 ПоследняяПоследняя
Показано с 21 по 30 из 70

Тема: Использование FPGA и CPLD (ПЛИС и ПЛМ)

  1. #21
    Guru Аватар для fan
    Регистрация
    11.02.2005
    Адрес
    Москва
    Сообщений
    3,789
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    4
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от icebear
    Если тебе нужен именно МАХ, то бери самую последнюю доступную версию.
    А у тебя какая ? Скачено с альтеры ? Комплект полный ? (мне это для коллекции нужно , а тянуть диалупом из P2P уж извените... Сам юзаю квактус , но он любит вылетать и комотозить , новерное рама для него маловато.)

    Цитата Сообщение от icebear
    Ну это просто версии под различные платформы.
    Ху из common ???

    Цитата Сообщение от icebear
    Тутор Aldec'овский рулит неимоверно!
    Тот что к квактусу прилагается ? Да рулит то на начальный уровень , к тому же он на энглеше . Мне надобно по максу/квактусу на рашен , из этого помню ПЛИС фирмы "ALTERA" Стешенко В.Б. но её чёто не видать в виртуальном выде (кто видел стукните в личку, плиииз), и это притом изобилии что уже есть -
    http://lord-n.narod.ru/walla.html
    Антонов А.П.
    Язык описания цифровых устройств AlteraHDL. Практический курс
    Зотов В.Ю.
    Проектирование цифровых устройств на основе ПЛИС фириы XILINX в САПР WEBPACK ISE
    Проектирование печатных плат в PCAD-2001.
    Уваров А.С.
    P-CAD 2002 и SPECCTRA. Разработка печатных плат.


    Ещё http://lalls.narod.ru/Literatura/index.htm (не помню на какой странице)
    Modelirovan_cifrov_i_mikroprocessorn_sistem_yzuk_V HDL_dyakov.pdf

  2. #22
    Veteran Аватар для icebear
    Регистрация
    05.05.2005
    Адрес
    Германия
    Сообщений
    1,614
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fan
    А у тебя какая ? Скачено с альтеры ? Комплект полный ? (мне это для коллекции нужно , а тянуть диалупом из P2P уж извените... Сам юзаю квактус , но он любит вылетать и комотозить , новерное рама для него маловато.)
    У меня Quartus Web Edition SP2. И ещё ISE 8.02. Всё это общим объёмом больше 2 гиг Квартус нормально работает, дома памяти 384МБ, на работе 1 гиг. Дома Атлон на 0,8ГГц , на работе четвёртый пень на 2,8. На работе конечно синтезирует быстрее


    Цитата Сообщение от fan
    Ху из common ???
    Это скорее всего просто какой-то апгрейд соответсвующей версии МАХа.

    Цитата Сообщение от fan
    Тот что к квактусу прилагается ?
    Я не знаю точно, какие туторы к Квартусу прилагаются. Читал, что хорошие, но сами не юзал. А "по совету друзей" приобрел тутор от Алдек, который (или которая) Evita. Вобщем, дуй на www.aldec.com, там всё увидишь.

    Цитата Сообщение от fan
    Да рулит то на начальный уровень , к тому же он на энглеше .
    Эээ, а это проблема?

    Цитата Сообщение от fan
    Мне надобно по максу/квактусу на рашен , из этого помню ПЛИС фирмы "ALTERA" Стешенко В.Б. но её чёто не видать в виртуальном выде (кто видел стукните в личку, плиииз), и это притом изобилии что уже есть -http://lord-n.narod.ru/walla.html
    Антонов А.П.
    Язык описания цифровых устройств AlteraHDL. Практический курс
    Зотов В.Ю.
    Проектирование цифровых устройств на основе ПЛИС фириы XILINX в САПР WEBPACK ISE
    Проектирование печатных плат в PCAD-2001.
    Уваров А.С.
    P-CAD 2002 и SPECCTRA. Разработка печатных плат.
    Погоди, тебе "шашечки или ехать"? В смысле, ты хочешь изучить оболчку квартуса или язык описания аппаратуры? Если первое, то квартусовских туторов должно быть достаточно, если второе, то уже настаиваю - Aldec. И забудь про AHDL - он умер. Ну если ещё не умер, то совсем скоро умрёт. И к тому же написаное на AHDL будет только на Альтере работать.
    Who are you to fucking lecture me?

  3. #23
    Guru Аватар для fan
    Регистрация
    11.02.2005
    Адрес
    Москва
    Сообщений
    3,789
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    4
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от icebear
    Эээ, а это проблема?
    Ну шпрехаю я со словарём (как и большенство населения учивших дойчь и нафиг его забывших), что не есть good .

    Цитата Сообщение от icebear
    Если первое, то квартусовских туторов должно быть достаточно,
    Хдэ ? (и шоб на рашен) Особенно интересуют всяческие предкомпиляционые настройки и расшифровка всяких ахтунгов во время компиляции а так же ценные советы шоб эти ахтунги не появлялись .
    Мне оно раз выдало (вольный перевод) - АХТУНГ !!! Бегите в магазин ! У вас не хватает межЛАБовых соединений ! Полный капут ! Дальнейшая компиляция не возможна ! Как я это обошёл не раскидывая схему по ЛАБам вручную нарисовано в матричной схеме ANTа . И это ещё называется продвинутая программа (а как это обойти при компиляции VHDL/VIRELOG даже и не подозреваю...)

  4. #24
    Veteran Аватар для icebear
    Регистрация
    05.05.2005
    Адрес
    Германия
    Сообщений
    1,614
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fan
    Хдэ ? (и шоб на рашен) Особенно интересуют всяческие предкомпиляционые настройки и расшифровка всяких ахтунгов во время компиляции а так же ценные советы шоб эти ахтунги не появлялись .
    Вот здесь был? http://servis-ins.narod.ru/tmp/vhdl/vhdl.html

    Я просто тоже в своё время много чего искал. Некоторые найденные линки сохранил.

    Цитата Сообщение от fan
    Мне оно раз выдало (вольный перевод) - АХТУНГ !!! Бегите в магазин ! У вас не хватает межЛАБовых соединений ! Полный капут ! Дальнейшая компиляция не возможна ! Как я это обошёл не раскидывая схему по ЛАБам вручную нарисовано в матричной схеме ANTа .
    Что такое "ЛАБ"? LUT или что?

    Цитата Сообщение от fan
    И это ещё называется продвинутая программа (а как это обойти при компиляции VHDL/VIRELOG даже и не подозреваю...)
    А ты не подозревай Кстати, в квартусе довольно людские сообщения об ошибках и развёрнутые по-сравнению с ISE. И брось этот схемный ввод, начни изучать нормальный язык, пиши простенькие конструкции типа DFF или JKFF и смотри в симуляторе.


    Дополнение: посмотри на код, который сюда кидал Heroy (4 видеорежима на 64МЯ). Понятно написано, как раз конструкции языка Verilog изучать.

    Ещё дополнение: на конфе telesys.ru (вроде так) можешь про русские туторы поспрашивать.
    Последний раз редактировалось icebear; 20.04.2006 в 11:50.
    Who are you to fucking lecture me?

  5. #25
    Guru Аватар для fan
    Регистрация
    11.02.2005
    Адрес
    Москва
    Сообщений
    3,789
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    4
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от icebear
    Synopsys и MAXII+ & altera_training_course.rar на русском ? Сомневаюсь...

    Цитата Сообщение от icebear
    Что такое "ЛАБ"? LUT или что?
    В вольном переводе - мега ячейка (в которой сидят ещё более мелкие)
    http://sblive.narod.ru/ZX-Spectrum/ANT-1024HD/LABs.gif

    Цитата Сообщение от icebear
    А ты не подозревай Кстати, в квартусе довольно людские сообщения об ошибках и развёрнутые по-сравнению с ISE.
    Ну да , понятные . И даже расшифровка в хелпе есть . Но меня совершенно не устраивают предложения бежать в магазин по усмотрению программы . И сколько ещё таких приколов я встречу .

    Цитата Сообщение от icebear
    И брось этот схемный ввод, начни изучать нормальный язык, пиши простенькие конструкции типа DFF или JKFF и смотри в симуляторе.
    Со схемным вводом я запросто могу посмотреть какой вентель какогоко элемента в какой ячейки сидид . И пнуть его куда надо по своему желанию .
    А при компиляции скриптов я буду курить бамбук начиная ещё с меж ЛАБовых соединений (хотя для жирных чипов однозначно Verilog/VHDL ...)

    Цитата Сообщение от icebear
    Ещё дополнение: на конфе telesys.ru (вроде так) можешь про русские туторы поспрашивать.
    Ага... Щаз... Шоб получить доступ в ихнюю библиотеку , нужно наштамповать около десятка полезных постов .

    З.Ы. Пора этот базар в отдельную тему переносить

  6. #26
    Veteran Аватар для icebear
    Регистрация
    05.05.2005
    Адрес
    Германия
    Сообщений
    1,614
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fan
    Synopsys и MAXII+ & altera_training_course.rar на русском ? Сомневаюсь...
    Ну, звиняй, т.к. я уже почти нерусский, много полезного на этот счёт дать не могу.

    Цитата Сообщение от fan
    Ну да , понятные . И даже расшифровка в хелпе есть . Но меня совершенно не устраивают предложения бежать в магазин по усмотрению программы . И сколько ещё таких приколов я встречу .
    Как это "в магазин"?

    Цитата Сообщение от fan
    Со схемным вводом я запросто могу посмотреть какой вентель какогоко элемента в какой ячейки сидид . И пнуть его куда надо по своему желанию .
    А при компиляции скриптов я буду курить бамбук начиная ещё с меж ЛАБовых соединений (хотя для жирных чипов однозначно Verilog/VHDL ...)
    В Квартусе есть RTL viewer, как и в ISE. Можно посмотреть, во что он тебе просинтезировал твой код. А бросить схемный ввод рекомендую потому, что там ошибки трудно искать (в писанине тебя компилятор предупредит).
    Who are you to fucking lecture me?

  7. #27
    ZEK
    Гость

    По умолчанию

    Цитата Сообщение от fan
    Со схемным вводом я запросто могу посмотреть какой вентель какогоко элемента в какой ячейки сидид . И пнуть его куда надо по своему желанию .
    А я к примеру могу кодом управлять например что-бы тригер быстрее сбрасывлся и медленней устанавливался. Или компилятор мне без защелок может выстстроить кучку однокоренных сигналов что-бы они ровненько шли, а ручным методом чуть ли не каждом повороте тригреры лепить.

    А как насчет немоного схемку увеличит?

    Цитата Сообщение от fan
    (а как это обойти при компиляции VHDL/VIRELOG даже и не подозреваю...)
    При написании на языке у тебя быстрее возникнет проблемма с нехваткой операционных ресурсов, а трасировочный ресурс обычно с запасом остается, при схемном вводе ты компилятор в тупик загоняеш, и оптимизатору уже негде разгуляться

    Цитата Сообщение от fan
    Сам юзаю квактус , но он любит вылетать и комотозить
    У меня дома 1.5Gb и Athlon 3.2 64bit и то вылетает, правда гораздо позже чем на 512Mb тат 100% утечка памяти, причем в ресурсах ядра системы потому что начинается с того что проги гнопочки фишечки недорисовывают, и заканчивается падением.

    И поддерживаю что в ветку другую перенести или лутьше создать новый раздел типа "ZX и кристалы"

  8. #28
    Guru Аватар для CHRV
    Регистрация
    18.01.2005
    Адрес
    Москва
    Сообщений
    3,695
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от icebear
    И забудь про AHDL - он умер. Ну если ещё не умер, то совсем скоро умрёт. И к тому же написаное на AHDL будет только на Альтере работать.
    ЭЭЭ нет, еще будет на АТМЕЛе работать (Смотри утилиту pof2jed).

    Пожалуйста пишите в email (chunin{гаф}mail{тчк}ru), личка отключена!!!

    NedoPC group. ZX-Evolution, ATM Turbo 2+, Pentagon1024SL.
    [Предлагаю: ZXEvo, PAL coder, NeoGS, TS-FM, YM2149, Z80 и прочее]
    Все здесь: http://www.nedopc.com.
    Новости/поддержка/Faq: http://forum.nedopc.com.
    Раздача халявы: http://forum.nedopc.com/viewtopic.php?f=32&t=977

  9. #29
    ZEK
    Гость

    По умолчанию

    Цитата Сообщение от CHRV
    ЭЭЭ нет, еще будет на АТМЕЛе работать (Смотри утилиту pof2jed).
    100% новая интересная жизнь, правда вроде там же пишут что это нарушение лицензии на продукты Altera

  10. #30
    Veteran Аватар для icebear
    Регистрация
    05.05.2005
    Адрес
    Германия
    Сообщений
    1,614
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от CHRV
    ЭЭЭ нет, еще будет на АТМЕЛе работать (Смотри утилиту pof2jed).
    А оно ещё актуально?
    Who are you to fucking lecture me?

Страница 3 из 7 ПерваяПервая 1234567 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  2. Сделан Спектрум на FPGA. Круче Спринтера?
    от alexfreed в разделе Несортированное железо
    Ответов: 82
    Последнее: 15.02.2010, 08:16
  3. Интересная ссылка по FPGA
    от Strunov в разделе Несортированное железо
    Ответов: 2
    Последнее: 12.01.2006, 16:09
  4. Ответов: 10
    Последнее: 11.07.2005, 10:05

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •