Важная информация

User Tag List

Страница 40 из 42 ПерваяПервая ... 36373839404142 ПоследняяПоследняя
Показано с 391 по 400 из 411

Тема: Karabas-Nano

  1. #391
    Activist
    Регистрация
    20.12.2006
    Адрес
    Minsk
    Сообщений
    280
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    9 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    на мой прошлый вопрос (см выше) никто не ответил и я решил идти методом "тыка".
    Я "скомпилировал" исходники из папки "universal": в качестве топ файла брал karabas_nano_14mhz.vhd(из соображений , что он меньше ресурсов берет от моей CPLD). Я распаял на макетке для для тестов и отладок мои вариантов печаток (не люблю резанные дорожки и паек проводов в готовом устройстве). CPLD - XC95216 XILINX. Я сделал ошибку и надо было СНАЧАЛА убедиться что 216 макроячеек хватит для данного проекта а потом уже распаивать ее на макетке. Теперь пытаюсь впихнуть в кристалл путем "вырезания" ненужного - а его в данном клоне нет вааще ((( вопрос стоит о паре процентов нехватки места на крисстале - настройки не помогают (((
    Вопрос в другом - у меня "интересно" показывает картинку - зеркалит КАЖДЫЙ символ - приложу картинку для понимания.... не могу понять где я накосячил - вроде все перепроверил много раз в распайке видеовыхода.... (кстати у меня телек в NTSC и кварц и перемычки соответвующие для AD724).
    И второе - он после сброса и после кнопки "BTN_NMI" выкидывает или 128К режим или в 48К. Как бы я не пытался играть с перемычками ROM_A16 "ROMBANK0" и ROM_A17 "ROMBANK1" - результат все тот-же : или 128К или 48К .... SD-Cadr - я записал файлы из папки SD.
    Карта старая на 240М - должна работать по-идее.
    Кстати цвета тоже нет , но тут я больше на распайку проводами грешу и и на кварц - осциллографом я так и не смог увидеть синус 3.57МГц (с делителем естественно ) - внешний генератор (генератор работает и выдает как положено и написано на кварце)при подключении к 3 ноге схлопывает картику вааще .... но это уже не сильно важно - я уже опробовал для VGA и результат меня устраивает )) Дальше - HDMI выход через Raspberry Pi Zero - но это когда запущу полностью функционал
    Буду РАД если автор уделит немного времени и ответи на вопросы - я новичок (все еще) в плисоводстве и учусь на этом компе на практике )))
    В ПЗУ я зашил divmmc-29ee040.rom. В настройках файла "karabas_nano_14mhz.vhd" ничего не менял в шапке .
    Кстати CPLD ОЧЕНЬ сильно греется с залитой прошивкой а стертая - холодная ....Нажмите на изображение для увеличения. 

Название:	MIRROR_pic.jpg 
Просмотров:	48 
Размер:	2.0 Кб 
ID:	78969

  2. #391
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #392
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    667
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Что-то столько вопросов за один раз - сложно осилить

    1) Что записывать в ПЗУ чтобы работали ОБЕ версии - ZC и DIVMMC? - соберите сами прошивку (Makefile там есть), чтобы в одной половине было то что нужно для divmmc, а во второй половине - то что нужно для ZC, чтобы переклбчать перемычной банки.

    2) обвязка для AD724 под NTSC - это, конечно, хорошо, но тогда и код надо править так, чтобы развертка тоже была NTSC Иначе кина не будет. Был ли в природе пентагон под ntsc я даже не знаю. И это значит, что с бордер-эффектами можно будет попрощаться.

    3) NMI должна перехватываться модулем divmmc и показывать NMI browser. Если этого не происходит, значит ESXDOS не проинициализировался. При включении есть стартовое меню ESXDOS, там где отображается список найденных устройств и загруженных модулей с SD карты ?

    4) То что CPLD греется - значит где-то есть конфликт, ну либо по скорости не выгребает. Без предоставления исходников сложно понять, что вы там наделали


  4. #393
    Activist
    Регистрация
    20.12.2006
    Адрес
    Minsk
    Сообщений
    280
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    9 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andykarpov Посмотреть сообщение
    1) Что записывать в ПЗУ чтобы работали ОБЕ версии - ZC и DIVMMC? - соберите сами прошивку (Makefile там есть), чтобы в одной половине было то что нужно для divmmc, а во второй половине - то что нужно для ZC, чтобы переклбчать перемычной банки.
    Как я и писал, я ипользовал готовую из вашего github-а : divmmc-29ee040.rom. Меня больше устроил бы divmmc - он развиваеться и надеюсь, имеет больше возможностей чем ZC. Меня больше интересует сам процесс создания железки , чем ее использование))) Makefile видел но не собирал сам потому, что не понятен алгоритм работы двух устройств в одной железяке: ZC и DIVMMC. После Вашего ответа стало понятно для чего нужны перемычки ROM_A16 "ROMBANK0" и ROM_A17 "ROMBANK1". Их можно завести на Raspberry Pi pico и удаленно дергать на uPython-e))))
    Цитата Сообщение от andykarpov Посмотреть сообщение
    2) обвязка для AD724 под NTSC - это, конечно, хорошо, но тогда и код надо править так, чтобы развертка тоже была NTSC Иначе кина не будет. Был ли в природе пентагон под ntsc я даже не знаю. И это значит, что с бордер-эффектами можно будет попрощаться.
    про это я уже подумал сам. Попробовав подключить для теста вот такую штуку на Raspberry Pi pico. Там тоже под PAL "кино" очень условное и черно-белое)))

    3) NMI должна перехватываться модулем divmmc и показывать NMI browser. Если этого не происходит, значит ESXDOS не проинициализировался. При включении есть стартовое меню ESXDOS, там где отображается список найденных устройств и загруженных модулей с SD карты ?
    Карта стоит в приемнике - файлы переписаны в корень из вашего раздела: karabas-nano-master\sd\divmmc\. Старта "divmmc" я так и не увидел - ни прикаких комбинациях.
    4) То что CPLD греется - значит где-то есть конфликт, ну либо по скорости не выгребает. Без предоставления исходников сложно понять, что вы там наделали
    Про конфликт не скажу, потому что нет осциллографа под рукой. Могу предположить , раз комп заводиться и работает то все что к нему подключено - все работает как положено. На данном этапе подключено только МИНИМУМ - ПЗУ, ПРОЦ и ОЗУ! Скорость та же что и Вас - 28МГц заводиться во-внутрь. Чип шустрый но старый))) Исходники - НИЧЕГО не менял , кроме замены сдвиговых регистров которые использовали встроенные библиотеки от ALTERA.
    вот мой "код" в файле divmmc.vhd (в конце файла)
    Код:
    signal clock_shift_in : std_logic;
    
    process ( I_CLK, cnt(3) )
    begin
      clock_shift_in  <= not I_CLK and not cnt(3);
    end process;
    
    U_SHIFTIN: entity work.shift_in
    port map(
    	--clock_in => not I_CLK and not cnt(3),
    	--clock_in => '1',
    	clock_in => clock_shift_in,
    	shiftin => I_MISO,
    	q => O_DATA
    );
    
    O_SCLK  <= I_CLK and not cnt(3);
    O_MOSI  <= shift_out(7);
    а это замените
    Код:
    entity shift_in is
        port(
    	      clock_in  : in std_logic;
    			shiftin : in std_logic;
             q : out std_logic_vector(7 downto 0)
    			);
    end shift_in;
    
    architecture syn of shift_in is
       signal tmp: std_logic_vector(7 downto 0);
    --   signal clock : std_logic;
    	COMPONENT lpm_shiftreg
    	PORT (
    			clock_in	: IN STD_LOGIC ;
    			q	: OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
    			shiftin	: IN STD_LOGIC 
    	     );
    	END COMPONENT;
    
    begin
     
        process (clock_in)
        begin
            if rising_edge(clock_in) then
                tmp <= tmp(6 downto 0)& shiftin;
            end if;
        end process;
        q <= tmp;
    
    end syn;
    Код:
    entity shift_out is
           port (
    		       clock		: in  std_logic ;
    		       data		   : in  std_logic_vector (7 downto 0);
    		       enable		: in  std_logic ;
    		       load		   : in  std_logic ;
    		       shiftout	: out std_logic 
    		      );
    end shift_out;
    
    architecture syn of shift_out is
    	signal sub_wire0	  : std_logic ;
    	signal register_tmp : std_logic_vector (7 downto 0);
    begin
    
    process ( clock, load, enable )
    begin
        if( clock'event and clock = '1' ) then
           if ( enable ='1') then  
    		    if( load = '1') then
                 register_tmp <= data;                                        -- параллельная загрузка
              else 
                 register_tmp <= register_tmp(0)&register_tmp(7 downto 1) ;   -- сдвиг вправо по циклу
              end if;
              shiftout <= register_tmp(0) ;                                   -- последовательный выход
    	    end if;	 
        end if;
    end process;

  5. #394
    Activist
    Регистрация
    17.03.2009
    Адрес
    Moscow
    Сообщений
    464
    Спасибо Благодарностей отдано 
    20
    Спасибо Благодарностей получено 
    48
    Поблагодарили
    32 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andykarpov Посмотреть сообщение
    Иначе кина не будет
    Вот кстати не факт (вполне существуют всякие NTSC-50, NTSC-4.43...)
    Profi v3.2 512K+color; Profi 6.2B; МС-0511

  6. #395
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    667
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    а попробуйте сдвиг в другую сторону сделать чтоль, я думаю в этом проблема с отзеркаленными символами

  7. #396
    Activist
    Регистрация
    20.12.2006
    Адрес
    Minsk
    Сообщений
    280
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    9 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andykarpov Посмотреть сообщение
    а попробуйте сдвиг в другую сторону сделать чтоль, я думаю в этом проблема с отзеркаленными символами
    вопрос в том , что двигать))) и где.... Он зеркалит каждый символ но выводит их в нужные мес а на экране.....
    проверил осциллографом - все сигналы на проце похожи на правду - нет конфликтов уровней. Сигналов на SD-CARD нет вааще : ни при старте ни после манипуляций кнопками и джамперами.
    Последний раз редактировалось backa; 12.06.2023 в 21:19.

  8. #397
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    667
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от backa Посмотреть сообщение
    вопрос в том , что двигать))) и где.... Он зеркалит каждый символ но выводит их в нужные мес а на экране.....
    я думаю, как-то так надо попробовать:

    Код:
    entity shift_out is
           port (
                   clock        : in  std_logic ;
                   data           : in  std_logic_vector (7 downto 0);
                   enable        : in  std_logic ;
                   load           : in  std_logic ;
                   shiftout    : out std_logic 
                  );
    end shift_out;
    
    architecture syn of shift_out is
        signal sub_wire0      : std_logic ;
        signal register_tmp : std_logic_vector (7 downto 0);
    begin
    
    process ( clock, load, enable )
    begin
        if( clock'event and clock = '1' ) then
           if ( enable ='1') then  
                if( load = '1') then
                 register_tmp <= data;                                        -- параллельная загрузка
              else 
                 register_tmp <= register_tmp(6 downto 0) & '0' ;   -- сдвиг влево
              end if;
              shiftout <= register_tmp(7) ;                                   -- последовательный выход
            end if;     
        end if;
    end process;

  9. #398
    Activist
    Регистрация
    20.12.2006
    Адрес
    Minsk
    Сообщений
    280
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    9 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andykarpov Посмотреть сообщение
    я думаю, как-то так надо попробовать
    Спасибо за помощь! (не первый , и надеюсь не последний, раз консультируете по своим разработкам)
    Сейчас попробую внести изменения и в моем случае получить jed файл.
    Изза того что ресурсов впритык - я ограничен в любых манипуляциях в коде((( Сразу выдает ошибку , что тот или иной сигнал не может провести внутри кристалла. Изза этого вынужден был использовать файл в ввиде топа: karabas_nano_14mhz.vhd.
    Если использую karabas_nano.vhd то ни одной удачной компиляции не было - нехватка ресурсов(((
    Хочу еще задать вопрос.
    В начале топ файла есть установки :
    Код:
    		ram_ext_std        : integer range 0 to 9 := 0; -- 0 - pentagon-128
    																		-- 1 - pentagon-512 via 6,7 bits of the #7FFD port (bit 5 is for 48k lock)
    																		-- 2 - profi-512 via 0,1 bits of the #DFFD port
    																		-- 3 - KAY-1024 via 7 bit #7FFD, 4,7 bits #1FFD
    																		-- 4 - Scorpion-1024 via 6,7 bits #7FFD, 4 bit #1FFD
    																		-- 5 - Profi-256+Kay-512 - 0 bit #DFFD, 4,7 bits #1FFD
    																		-- 6 - Pentagon-512+Profi-256 - 6,7 bits #7FFD, 0 bit #DFD
    																		-- 7 - Pentagon-256+Profi-512 - 7 bit #7FFD, 0,1 bits #DFFD
    																		-- 8 - profi-1024 via 0,1,2 bits of the #DFFD port
    																		-- 9 - Pentagon-1024 via 7,6,5 bits of the 7FFD port
    		enable_port_ff 	    : boolean := true; -- enable video attribute read on port #FF
    		enable_port_7ffd_read : boolean := false; -- enable port 7ffd read by CPU (only it trdos mode)
    		enable_divmmc 	       : boolean := false;  -- enable DivMMC
    		enable_zcontroller    : boolean := true; -- enable Z-Controller
    		enable_trdos 			 : boolean := true;  -- enable TR-DOS
    		enable_service_boot   : boolean := true;  -- boot into the service rom (when z-controller and tr-dos are enabled)
    		enable_ay_uart 	    : boolean := true;  -- enable AY port A UART
    		enable_bus_n_romcs    : boolean := false;  -- enable external BUS_N_ROMCS signal handling
    		enable_bus_n_iorqge   : boolean := false;   -- enable external BUS_N_IORQGE signal handling
    		enable_14mhz_freq		 : boolean := false 	-- use 14 MHz crystal instead of 28 MHz
    Я ничего не менял - но как только я меняю разрешения для divmmc и zcontroller - "компилятор" снова начинаеться жаловаться на нехватку.
    Вопрос в следующем - будет для работать divmmc по умолчанию если все оставить как есть (см выше)? Не понятно почему divmmc запрещен. Я готов пожертвовать ZC ради места в крисстале ))) Это все равно макетка. На PCB я разведу под 288 макроячеек потом....



    Попробовал:
    Стало хуже - больше "букаф" нет ((( и меню 128 стало пустым - оххх
    Знакоместа стали "пустыми"(( Возвращаюсь к зеркальноотображенным - с ними хоть DIVMMC можно пытаться запустить)))
    Последний раз редактировалось backa; 12.06.2023 в 23:52. Причина: результат измений

  10. #399
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    339
    Спасибо Благодарностей получено 
    667
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от backa Посмотреть сообщение
    разрешения для divmmc и zcontroller - "компилятор" снова начинаеться жаловаться на нехватку
    0) файл karabas_nano_14mhz.vhd появился в репе случайно, когда @Kalantaj попросил под его перемаркированные генераторы сделать сборку
    1) соответственно, за основу лучше брать актуальную версию top-level модуля
    2) все эти флаги появились, собственно, также из-за нехватки ресурсов
    3) одновременно ZC и DivMMC не будет жить. нужно включить что-то одно.
    4) в любом случае, флаги нужно под себя настроить. каждый флаг влияет на условную компиляцию того или иного блока в коде

    Если у вас прошивка собрана с текущими флагами,как указаны выше - то:
    1) она под ZC, соотв в ПЗУ должен быть прошит образ ПЗУ под ZC
    2) комп будет стартовать в GLUK reset service
    3) флаг enable_14mhz_freq выключен, соотв. ожидается тактовая 28МГц, а не 14.

  11. #400
    Activist
    Регистрация
    20.12.2006
    Адрес
    Minsk
    Сообщений
    280
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    9 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andykarpov Посмотреть сообщение
    Если у вас прошивка собрана с текущими флагами,как указаны выше - то:
    1) она под ZC, соотв в ПЗУ должен быть прошит образ ПЗУ под ZC
    2) комп будет стартовать в GLUK reset service
    3) флаг enable_14mhz_freq выключен, соотв. ожидается тактовая 28МГц, а не 14.
    ну теперь понятна вся идея проекта)) Теперь можно осмысленно подойти к делу)
    У меня соотвественно компиляция идет под ZC ( только с ним хватает места да и то не всякий раз ) а ПЗУ подDivMMC - как раз коллизия ((( Я внутрисхемно ПЗУ шил 2 часа - наверно поищу программатор))) на работе. Тактовую я уже понял что подобрал верно - изначально подал 14МГц и получил пустой экран и частоты в 2 раза ниже везде. А с 28МГц - все заиграло

    Я сравнил тоталом, что есть в файле divmmc-29ee040.rom с файлами из папки \karabas-nano-master\roms\src\ и нашел наличие только файла "esxdos.rom"
    А что там тогда расположено)) ?? Придется снова перешивать ПЗУ ....
    Последний раз редактировалось backa; 13.06.2023 в 01:15. Причина: дополнения

Страница 40 из 42 ПерваяПервая ... 36373839404142 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Karabas-128
    от andykarpov в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 774
    Последнее: 14.12.2022, 19:18
  2. Комп MiSTer: MiST on Terasic DE10-nano board.
    от Anubis_OD в разделе Новости
    Ответов: 2
    Последнее: 01.11.2017, 11:33
  3. Ответов: 8
    Последнее: 25.10.2017, 19:59

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •