Важная информация

User Tag List

Страница 2 из 6 ПерваяПервая 123456 ПоследняяПоследняя
Показано с 11 по 20 из 52

Тема: Выбор языка описания электронных схем (Verilog, VHDL и другие)

  1. #11
    Veteran Аватар для krotan
    Регистрация
    30.08.2010
    Адрес
    Санкт-Петербург
    Сообщений
    1,263
    Спасибо Благодарностей отдано 
    53
    Спасибо Благодарностей получено 
    192
    Поблагодарили
    159 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Чтобы что-то советовать, надо знать задачи, которые вы собрались решать.
    К примеру, на VHDL можно описывать аналоговую электронику, а на Verilog только цифровую...

  2. #12
    Master
    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    828
    Спасибо Благодарностей отдано 
    34
    Спасибо Благодарностей получено 
    128
    Поблагодарили
    108 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Верно. Однако применительно к "чисто" цифровым fpga аналоговые возможности vhdl совсем не нужны. Посему этот "плюс" бесполезен. В отличие от "плюса" SV в виде продвинутых тестбенчей (это конечно, если осилить сию методику).

  3. #13
    R.I.P. Аватар для hobot
    Регистрация
    30.08.2011
    Адрес
    Зеленоград
    Сообщений
    7,161
    Спасибо Благодарностей отдано 
    2,979
    Спасибо Благодарностей получено 
    370
    Поблагодарили
    309 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ребята, повторю свой вопрос (ссылка на пост https://zx-pk.ru/threads/23978-tsifr...=1#post1106160) для ваших мнений что бы услышать?
    Архив программ для УК-НЦ, ДВК и БК.

    Ищу игру "СТРАНА МОНСТРОВ" [monstr.sav] для ДВК.

  4. #14
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от hobot Посмотреть сообщение
    Ребята, повторю свой вопрос
    Пиши на VHDL

  5. #15
    Master Аватар для Mor-Da
    Регистрация
    14.04.2015
    Адрес
    г. Воткинск
    Сообщений
    500
    Спасибо Благодарностей отдано 
    96
    Спасибо Благодарностей получено 
    36
    Поблагодарили
    27 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Секта выбирает Verilog.
    Karabas Pro Rev.E / MiST 1.31 / ZX-Evolution (Rev.C4 + VDAC2 + ZiFi) / ZX Spectrum 128K +2B / DivGMX / ReVerSE-U16 (EP4CE22+16M16+TS-Conf) / Пентагон-128К (2014) PS/2, NemoIDE / Компаньон-2 (1994)

  6. #16
    Activist
    Регистрация
    21.08.2009
    Адрес
    Cyprus
    Сообщений
    233
    Спасибо Благодарностей отдано 
    81
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    19 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Посмотрите еще Clash: https://clash-lang.org/
    Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. It provides a familiar structural design approach to both combinational and synchronous sequential circuits. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog.

  7. #16
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #17
    Master Аватар для Sandro
    Регистрация
    20.01.2010
    Адрес
    г. Зеленоград
    Сообщений
    590
    Спасибо Благодарностей отдано 
    27
    Спасибо Благодарностей получено 
    211
    Поблагодарили
    115 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от AlexG Посмотреть сообщение
    Не специфичен - потому что не привязан к конкретному виду железа.
    Вот AHDL - да, специфика от альтеры.
    Неа. В девичестве он -- ABEL, но поскольку IBM зажала права на название, то пришлось переименовать.

    Цитата Сообщение от AlexG Посмотреть сообщение
    а ise не переваривает - потому что vhdl более "древний" язык, чем SV. И реализацию SV по хорошему начали в виваде.
    Ada, разумеется, язык более древний, спору нет А VHDL -- таки её диалект. Но блин .. на мой вкус, Verilog -- язык сомнительный. Несмотря на большую компактность ... на маленьких проектах. И к SV это моё мнение тоже относится.

    Но на вкус и цвет ...

  9. #18
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Sandro Посмотреть сообщение
    Verilog -- язык сомнительный
    Поскольку в момент, когда у меня появилась DE10, я был полным новичком в FPGA, на выбор до определённого уровня повлиял язык проекта PDP2011, а когда потом читал про разные языке, для меня минусов Verilog-а была лёгкость сделать ошибку в коде, которая будет пропущена синтезатором, но приведёт к нерабочей прошивке. И учитывая, что в тот момент я ещё понятия не имел о недостатках асинхронных схем (в частности - лёгкость создания нерабочей прошивки) - лишний повод получить нерабочую прошивку мне был совсем не к чему.

  10. #19
    Master
    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    828
    Спасибо Благодарностей отдано 
    34
    Спасибо Благодарностей получено 
    128
    Поблагодарили
    108 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    "выстрелить в ногу" возможно даже из не заряженного ружья.
    Ада несомненно "суперстар" - но увы это не основное средство производства в бизнесе.
    Ровно так же как и всякие надстройки на VHDL/V/SV (это про Clash и еже с ними) если хочется "работать" непосредственно с железом.
    Следующий уровень после SV это "условно С++" (который преобразуется средой разработки в VHDL/V/SV). Сиё позволяет реализовывать "математические", "ИИ" идр алгоритмы в железе.
    Каждому языку своё время и место применения.
    пс: в SV есть фича "интерфейс" - это что типа набора проводов (adr[16], Data[8], rd, wr итд), который позволяет одним "росчерком пера" завести в описание модуля все нужные сигналы и в случае изменения комплекта этих сигналов достаточно только отредактировать описание "интерфейса" (без беготни по описаниям модулей, где используется оный интерфейс).
    пспс: есть ещё ряд достаточно приятных фичъ... читайте книжки (правда все они на англицком).
    Последний раз редактировалось AlexG; 03.03.2021 в 14:44.

  11. #20
    Guru Аватар для Vslav
    Регистрация
    31.03.2013
    Адрес
    г. Киев
    Сообщений
    2,413
    Спасибо Благодарностей отдано 
    132
    Спасибо Благодарностей получено 
    755
    Поблагодарили
    353 сообщений
    Mentioned
    86 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Пояснение для "обычных" программистов:
    - VHDL - это Паскаль, перегружен типизацией, достаточно громоздкий - читается хуже. Из достоинств - чуть сложнее выстрелить себе в ногу, но все равно можно. Как и оригинальный Паскаль - на нем проще учиться, но дальше вся эта типизация начинает напрягать, тупо больше текста набирать, больше шансов на синтаксическую ошибку, все это жрет время.
    - Verilog - это Си-89, полная свобода, синтаксис проще, читаемость лучше, пишется быстрее, нет строгой типизации, легко выстрелить себе в ногу.
    - System Verilog - дальнейшее развитие Си, ну еще не С++, но уже Си-11.

    Писал на всех трех, на цифровых задачах принципиальной разницы нет, при прочих равных мой выбор был бы SV,
    там много удобных вещей, но портируемость (поддержка разными производителями немного отличается) вызывает опасения,
    поэтому остаюсь на обычном Верилоге, у меня нет настолько больших проектов чтобы вынужденно переходить на SV.

  12. Эти 4 пользователя(ей) поблагодарили Vslav за это полезное сообщение:

    nzeemin (05.03.2021), Sergey (12.05.2021), Titus (12.05.2021), xolod (03.03.2021)

Страница 2 из 6 ПерваяПервая 123456 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. micro-CPU на vhdl, verilog
    от bigral в разделе Для начинающих
    Ответов: 14
    Последнее: 16.04.2015, 23:39
  2. Программы симуляции электронных схем
    от Viktor2312 в разделе Для начинающих
    Ответов: 3
    Последнее: 07.01.2015, 17:39
  3. Техническая литература: Языки описания аппаратуры "AHDL, VHDL и Verilog"
    от Viktor2312 в разделе Техническая литература
    Ответов: 1
    Последнее: 14.09.2014, 22:29

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •