Важная информация

User Tag List

Страница 1 из 6 12345 ... ПоследняяПоследняя
Показано с 1 по 10 из 52

Тема: Выбор языка описания электронных схем (Verilog, VHDL и другие)

  1. #1
    Veteran Аватар для zebest
    Регистрация
    11.01.2008
    Адрес
    Ладошкино
    Сообщений
    1,673
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    323
    Спасибо Благодарностей получено 
    221
    Поблагодарили
    174 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию Выбор языка описания электронных схем (Verilog, VHDL и другие)

    Цитата Сообщение от Hunta Посмотреть сообщение
    Сообщение от forth32
    надо знать язык Verilog
    VHDL - наше всё
    только прошу не на SV, ибо ISE его не переваривает, а переводить с корейского на кетайский и без словаря, используйя в качестве промежуточного - старославянский - то еще занятие ((
    Последний раз редактировалось IgorR76; 01.03.2021 в 12:21.
    Profi v3.2 -=- Speccy2010,r2

  2. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #2
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,997
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от zebest Посмотреть сообщение
    ISE
    Это что то от Xilinx?

  4. #3
    Master
    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    828
    Спасибо Благодарностей отдано 
    34
    Спасибо Благодарностей получено 
    128
    Поблагодарили
    108 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    это ide для плис "старшых" поколений. до 7-го семейства. вивадо/витис это для современных плис xilnx/
    ps: лично мне "SV-фломастеры" больше нравятся (но не стоит углубляться в тему "что лучше").

  5. #4
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,997
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от AlexG Посмотреть сообщение
    это ide для плис "старшых" поколений
    Угу, значит правильно понял.

    Цитата Сообщение от AlexG Посмотреть сообщение
    не стоит углубляться в тему "что лучше"
    Ну, я давно понял, что лучше то, что лучше знаешь. Поскольку начал с VHDL, на нём пока и ваяю. Verilog более менее читаю и могу переделать на VHDL, но писать с нуля не пробовал. Ну а System Verilog (если он имелся ввиду) - не пробовал. Вроде как он специфичен для Altera?

  6. #5
    Master
    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    828
    Спасибо Благодарностей отдано 
    34
    Спасибо Благодарностей получено 
    128
    Поблагодарили
    108 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    SV - ни разу не специфичен. Это грубо говоря "работа над ошибками" в verilog-e

  7. #6
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,997
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от AlexG Посмотреть сообщение
    ни разу не специфичен.
    Но тем не менее
    Цитата Сообщение от zebest Посмотреть сообщение
    ISE его не переваривает

  8. #7
    Master
    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    828
    Спасибо Благодарностей отдано 
    34
    Спасибо Благодарностей получено 
    128
    Поблагодарили
    108 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Не специфичен - потому что не привязан к конкретному виду железа.
    Вот AHDL - да, специфика от альтеры.
    а ise не переваривает - потому что vhdl более "древний" язык, чем SV. И реализацию SV по хорошему начали в виваде.
    PS: есть смутное ощущение что PlanAhead из ISE 14.7 может SV в том или ином виде. но проверить оное у меня не получится по быстрому.
    Последний раз редактировалось IgorR76; 01.03.2021 в 12:24.

  9. #8
    Veteran Аватар для zebest
    Регистрация
    11.01.2008
    Адрес
    Ладошкино
    Сообщений
    1,673
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    323
    Спасибо Благодарностей получено 
    221
    Поблагодарили
    174 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от AlexG Посмотреть сообщение
    есть смутное ощущение что PlanAhead из ISE 14.7 может SV в том или ином виде.
    PlanAhead не пробовал, но если бы заработало - было бы неплохо, ибо мне пришлось несколько модулей с SV кое-как переделать под Verilog, а вот насколько верно - это я вообще ни разу не уверен, как то работает - и то хлеб (
    В основном массивы данных на SV делают, мне сложно их "разворачивать" вобратно...
    Profi v3.2 -=- Speccy2010,r2

  10. #9
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,997
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от AlexG Посмотреть сообщение
    Вот AHDL - да, специфика от альтеры.
    О, блин, вот я с кем перепутал

  11. #10
    Veteran Аватар для zebest
    Регистрация
    11.01.2008
    Адрес
    Ладошкино
    Сообщений
    1,673
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    323
    Спасибо Благодарностей получено 
    221
    Поблагодарили
    174 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ну и чтобы не со всемъ голым словно - скрин

    16+



    [свернуть]

    Слева три строКи на SV, справа, оно же, но как то работающее в V - 333 строки
    За точность перевода поручиЦЦа ну никак не могу.
    Profi v3.2 -=- Speccy2010,r2

Страница 1 из 6 12345 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. micro-CPU на vhdl, verilog
    от bigral в разделе Для начинающих
    Ответов: 14
    Последнее: 16.04.2015, 23:39
  2. Программы симуляции электронных схем
    от Viktor2312 в разделе Для начинающих
    Ответов: 3
    Последнее: 07.01.2015, 17:39
  3. Техническая литература: Языки описания аппаратуры "AHDL, VHDL и Verilog"
    от Viktor2312 в разделе Техническая литература
    Ответов: 1
    Последнее: 14.09.2014, 22:29

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •