Важная информация

User Tag List

Страница 15 из 15 ПерваяПервая ... 1112131415
Показано с 141 по 148 из 148

Тема: One-Chip-MSX

  1. #141
    Master Аватар для TomaTLAB
    Регистрация
    09.03.2017
    Адрес
    г. Троицк
    Сообщений
    607
    Спасибо Благодарностей отдано 
    124
    Спасибо Благодарностей получено 
    63
    Поблагодарили
    47 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Купил по 100р за пучок, вместе с какой то мелочью типа ардуино-мини, USB-UART, ENC28j60 и прочего мусора по десятку штук. Ссыпал в коробочку - есть не просят.

  2. #142
    Guru
    Регистрация
    16.12.2008
    Адрес
    Kharkov, Ukraina
    Сообщений
    2,221
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    21
    Поблагодарили
    18 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ага, тоже купил пучок девборд циклон 2 "голых" (3 - это видимо бонус, обрадовался) сложил в коробочку. Пригодятся штука классная, а шилды и сами соберем, если конечно это не HDMI (эту тему не изучал и не делал в железе) выход
    Последний раз редактировалось OrionExt; 27.03.2017 в 22:26.
    Электроника КР-02, MSX YIS-503IIR, Орион-128, Ленинград-2, Pentagon-128k, MSX2 YIS-503IIIR, MSX-EXT, ...

  3. #143
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию Подключил PS/2 мышку к OCM на Altera DE1

    Версия от 17 апреля 2017 года.
    =========================
    Добавлена работа с PS/2-mouse.
    Реализована работа мышки, подключенной к порту джойстика по стандарту принятому для MSX.
    Пока реализована работа мышки без колеса. По умолчанию мышка работает через порт джойстика 1.
    На плате DE1 не предусмотрено подключение дополнительного PS/2 устройства, поэтому для
    подключения мышки придется собрать часть схемы, приведенной на сайте.
    http://caro.su/msx/ocm_de1.htm
    Последний раз редактировалось caro; 17.04.2017 в 21:50.

  4. #144
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Мышка теперь работает и на версии OCM на Altera DE0.
    http://caro.su/msx/ocm_de0.htm

  5. #145
    Member
    Регистрация
    18.08.2010
    Адрес
    г. Санкт-Петербург
    Сообщений
    44
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    7
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от caro Посмотреть сообщение
    Мышка теперь работает и на версии OCM на Altera DE0.
    http://caro.su/msx/ocm_de0.htm
    Привет, а как ты относишься к переезду проекта на De10-nano (MiSTer платформа) и появлению функционала типа Moonsound?

  6. #146
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Alfishe Посмотреть сообщение
    Привет, а как ты относишься к переезду проекта на De10-nano (MiSTer платформа) и появлению функционала типа Moonsound?
    Отношусь хорошо к любому варианту переноса проекта на любую подходящую платформу, и расширению функционала на дополнительные устройства, разработанные для MSX.

  7. #147
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Очередной подход к OCM на базе DevBoards от Terrasic:
    1) Модифицирован начальный загрузчик системы - процесс загрузки отображается на экране.
    2) Кроме начального старта по включению питания и по нажатию кнопки KEY0, при котором происходит загрузка BIOS системы,
    предусмотрен "теплый" старт без загрузки BIOS по кнопке KEY1 и с клавиатуры, одновременным нажатием Ctrl+Alt+DEL.
    3) Попробовал реализовать режим так называемого "ScanLine" - для включения, в любом ВИДЕО режиме необходимо нажать SHIFT+PrtScr.
    Всё это реализована на DE1, DE0 и DE0Nano
    Смотреть как обычно на http://caro.su

    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	iplrom2c.jpg 
Просмотров:	92 
Размер:	77.2 Кб 
ID:	65060  
    Последний раз редактировалось caro; 18.04.2018 в 18:09.

  8. #148
    Activist Аватар для omercury
    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от caro Посмотреть сообщение
    Или можно купить отдельно плату от предыдущего варианта с FPGA EP4C15 за 2177 руб. Но придется делать
    Эту купить можно.

    Цитата Сообщение от caro Посмотреть сообщение
    Вот хороший вариант
    А вот это не стоит.
    Надо дождаться, пока закончатся платы старой ревизии (зелёные) и появится комплект с обновлённой коре-бордой синего цвета.
    На ней установлена 6-наносекундная SDRAM, на старой 7,5ns, которая работает максимум на 70МГц при CL-2. Продавец божится, что на новой плате SDRAM с моим тестом работает на 93,33МГц (возможно и выше, следующая интерация 133,33МГц)))
    New sof only upto 93.33
    Переписка оказалась не напрасной.


    З.Ы.
    Кстати, у него появилась ещё более вкусная коре-борда на Е22 на новой ревизии платы.
    https://ru.aliexpress.com/store/prod...b5d47bae48bo55

    З.Ы.-2
    На старой ревизии на CL-3 SDRAM не запустилась вовсе, хотя на других платах с той же коркой вполне себе работает.
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	DSC_0026.jpg 
Просмотров:	180 
Размер:	54.1 Кб 
ID:	64917  
    Последний раз редактировалось omercury; 05.04.2018 в 23:26.

Страница 15 из 15 ПерваяПервая ... 1112131415

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. music chip for zx
    от breeze в разделе Звук
    Ответов: 48
    Последнее: 29.03.2006, 11:39

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •