Важная информация

User Tag List

Страница 11 из 15 ПерваяПервая ... 789101112131415 ПоследняяПоследняя
Показано с 101 по 110 из 148

Тема: One-Chip-MSX

  1. #101
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от SeaBirdDK Посмотреть сообщение
    А можно ли подключить к GPIO DE1 шину для подключения картриждей?
    Можно, и многие картриджи корректно работают - проверено.
    Схема подключения выложена у меня на сайте.

  2. #102
    Member
    Регистрация
    15.04.2015
    Адрес
    г. Алма-Ата, Казахстан
    Сообщений
    135
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Да, спасибо. Смотрел на сайте как раз, но не сразу заметил полную схему в pdf-ке. А случайно нет уже разведенной схемы?
    Последний раз редактировалось SeaBirdDK; 07.08.2015 в 16:28.

  3. #103
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от SeaBirdDK Посмотреть сообщение
    Да, спасибо. Смотрел на сайте как раз, но не сразу заметил полную схему в pdf-ке. А случайно нет уже разведенной схемы?
    Я не разводил.
    Попробуй на msx.org поспрошать, но правда у иностранцев.
    Там в одной из тем сообщали, что развели и запустили такую плату расширения.

  4. #104
    Member
    Регистрация
    15.04.2015
    Адрес
    г. Алма-Ата, Казахстан
    Сообщений
    135
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Спасибо за наводку.

  5. #105
    Junior
    Регистрация
    28.11.2013
    Адрес
    Limerick, Ireland
    Сообщений
    28
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ivagor Посмотреть сообщение
    Спасибо за наводку на sdram (подправил и ocm запустился на de1-soc, особо не тестировал, но на первый взгляд работает). Я до этого думал, что проблема с загрузкой romа с sd карты, даже собирался дизассемблировать загрузчик
    По поводу шилда для de1-soc я вряд ли подходящий человек для посоветоваться. Готовую подобную платку я бы, пожалуй, купил (если не очень дорого) в рф или в китае, а что-то конструктивное по разработке я не могу сказать. Пока обхожусь платками на проводках. Это работает, но не очень удобно
    Снова гляжу на тему MSX.
    Что-то не нашел исходников под DE2-115 там стоит Cyclone IV и существующие проекты просто так не работают.
    Я сделал компиляцию и заменил PLL на альтеровский. Но вот только SD карточка у меня не работает .
    Можно ли для ускорения поглядеть твой топ и проект для DE2-115?

  6. #106
    Junior
    Регистрация
    28.11.2013
    Адрес
    Limerick, Ireland
    Сообщений
    28
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Платка в тему попалась на EP4CE6E22C8N
    на борту память и нужные для темы разъемы
    и цена весьма гуманная
    http://www.aliexpress.com/item/Free-...378625463.html

  7. #106
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #107
    Junior
    Регистрация
    28.11.2013
    Адрес
    Limerick, Ireland
    Сообщений
    28
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от finevlad Посмотреть сообщение
    Снова гляжу на тему MSX.
    Что-то не нашел исходников под DE2-115 там стоит Cyclone IV и существующие проекты просто так не работают.
    Я сделал компиляцию и заменил PLL на альтеровский. Но вот только SD карточка у меня не работает .
    Можно ли для ускорения поглядеть твой топ и проект для DE2-115?
    собрал и все заработало - память SDRAM использую наполовину 0..15 данные - зато минимум изменений
    может есть смысл такую конфигурацию куда в репозиторий добавить чтоб потом не искать - ну и если что изменения можно туда же вносить?

  9. #108
    Junior
    Регистрация
    28.11.2013
    Адрес
    Limerick, Ireland
    Сообщений
    28
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вот еще платка в тему попалась на EP4CE6E22C8N
    на борту память и нужные для темы разъемы
    и цена весьма гуманная
    http://www.aliexpress.com/item/FPGA-...23357648.html?

    - - - Добавлено - - -

    Цитата Сообщение от finevlad Посмотреть сообщение
    Снова гляжу на тему MSX.
    Что-то не нашел исходников под DE2-115 там стоит Cyclone IV и существующие проекты просто так не работают.
    DE2-115 конфигурация появилась вот тут:
    https://github.com/robinsonb5/OneChipMSX

  10. #109
    Veteran Аватар для zebest
    Регистрация
    11.01.2008
    Адрес
    Ладошкино
    Сообщений
    1,673
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    323
    Спасибо Благодарностей получено 
    221
    Поблагодарили
    174 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Странненький репозиторий. я отстал от жизни, и имена файлов SEG7_LUT.v и SEG7_LUT.V считаются различными?
    Мои архиваторы и винда считают инАче (( или это чисто для пользователей пингвинукса???
    Profi v3.2 -=- Speccy2010,r2

  11. #110
    Guru
    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    7,843
    Спасибо Благодарностей отдано 
    654
    Спасибо Благодарностей получено 
    1,818
    Поблагодарили
    1,044 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Просто для коллекции перевыложил "старую" версию для de2-115 (ранее выкладывал здесь). Версия с zpu удобнее, но пусть и такой вариант будет. Рядом там по прежнему версия для de1-soc (выкладывал здесь).

Страница 11 из 15 ПерваяПервая ... 789101112131415 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. music chip for zx
    от breeze в разделе Звук
    Ответов: 48
    Последнее: 29.03.2006, 11:39

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •