Важная информация

User Tag List

Страница 9 из 15 ПерваяПервая ... 5678910111213 ... ПоследняяПоследняя
Показано с 81 по 90 из 148

Тема: One-Chip-MSX

  1. #81
    Activist
    Регистрация
    21.07.2010
    Адрес
    Ukraine
    Сообщений
    276
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от caro Посмотреть сообщение
    Дополнительно в ней хранится ROM-файл объемом 384 кбайт
    В исходниках этого файла не нашел, скорее всего это файл bios_m2p.rom и он добавляется при создании jic? Нужно ли его записывать и на SD "первым"?
    Цитата Сообщение от caro Посмотреть сообщение
    Можно. Давно хочу это сделать.
    Скорей всего потребуется доработка загрузчика и добавление модуля SPI для работы с RTC. Такой метод уже реализован в конфигурациях speccy и ts-conf. Есть ли файл-исходник загрузчика для выполнения доработки и чем его собирали?
    Цитата Сообщение от caro Посмотреть сообщение
    НЕ думаю, что это сложно. В текущей конфигурации OCM реально используются биты 4,3,2.
    Т.е. реальным отображением цветов можно пренебречь сдвигом разрядов влево?
    Поддержаны и правильно ли отображаются видео режимы: 256х212 16 цветов и 512х212 16 цветов?
    Цитата Сообщение от caro Посмотреть сообщение
    Как вариант реализовать работу через RS232.
    У меня на плате установлен контроллер ENC424, подключается по SPI с помощью всего 5-ти проводков. Можно ли реализовать его поддержку заменой драйвера и если программы работающие с реальными Ethernet чипами?
    Цитата Сообщение от caro Посмотреть сообщение
    на Альтеровских платах частота 21.43 МГц.
    Пробовали использовать каскадирование PLL (последовательное подключение) для генерирования требуемой частоты?

  2. #82
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от MVV
    В исходниках этого файла не нашел, скорее всего это файл bios_m2p.rom и он добавляется при создании jic? Нужно ли его записывать и на SD "первым"?
    В файл jic добавляется emsx_top.hex, который содержит bios_m2p.rom, преобразованный в HEX-формат.
    Если вы загружаете в EPCS64 jic-файл, то загрузка ПЗУ с SD-карты не нужна, поэтому и записывать его на карту не надо.
    Но иногда требуется проверить какие-то изменения в содержимом ROM-файлов, тогда можно записать измененный файл первым на SD-карту и тогда именно его содержимое будет загружено в память копьютера при его старте.
    Этот файл надо обязательно записать на карту если вы хотите просто опробовать конфигурацию, загрузив в FPGA SOF-файл.

    Цитата Сообщение от MVV
    Скорей всего потребуется доработка загрузчика и добавление модуля SPI для работы с RTC. Такой метод уже реализован в конфигурациях ts-conf. Есть ли файл-исходник загрузчика для выполнения доработки и чем его собирали?
    Исходник есть. Собирается стандартным ассемблером для Z80. Я использую M80.
    Выложу на сайте.

    Цитата Сообщение от MVV
    Т.е. реальным отображением цветов можно пренебречь сдвигом разрядов вправо?
    Думаю да.

    Цитата Сообщение от MVV
    Поддержаны и правильно ли отображаются видео режимы: 256х212 16 цветов и 512х212 16 цветов?
    Поддержаны. Насчет правильности не скажу, нужен какой то тест.

    Цитата Сообщение от MVV
    У меня на плате установлен контроллер ENC424, подключается по SPI с помощью всего 5-ти проводков. Можно ли реализовать его поддержку заменой драйвера и если программы работающие с реальными Ethernet чипами?
    Есть ObsoNet, но как туда внедрить ваш вариант не знаю.

    Цитата Сообщение от MVV
    Пробовали использовать каскадирование PLL (последовательное подключение) для генерирования требуемой частоты?
    Надо будет попробовать.
    Последний раз редактировалось caro; 08.02.2015 в 13:06.

  3. #83
    Guru
    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    7,855
    Спасибо Благодарностей отдано 
    654
    Спасибо Благодарностей получено 
    1,845
    Поблагодарили
    1,054 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    После замены генерации 85 и 21 МГц на фазовый аккумулятор (сделал из 300 МГц) композит и s-video стали цветные

  4. #84
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ivagor
    После замены генерации 85 и 21 МГц на фазовый аккумулятор (сделал из 300 МГц) композит и s-video стали цветные
    Я так не умею. Можешь показать как это сделать?

  5. #85
    Guru
    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    7,855
    Спасибо Благодарностей отдано 
    654
    Спасибо Благодарностей получено 
    1,845
    Поблагодарили
    1,054 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Код:
    signal acc: std_logic_vector (23 downto 0);
    signal clk300: std_logic;
    signal clkdivmy: std_logic_vector (1 downto 0);
    
    ...
    
      U00 : pll4x2
        port map(					-- for Altera DE1
          inclk0 => CLOCK_50,       -- 50 MHz external
    		c0=>clk300,
    --      c0     => clk21m,         -- 21.43MHz internal (50*3/7)
    --      c1     => memclk,         -- 85.72MHz = 21.43MHz x 4
    --      c2     => pMemClk,        -- 85.72MHz external
          locked => lock_n
        );
    
    process(clk300)
    begin
       if (clk300'event and clk300 = '1') then
          acc <= acc + 4804384;
       end if;
    end process;
    memclk <= acc(23);
    pMemClk<=memclk;
    
    process(memclk)
    begin
       if (memclk'event and memclk = '1') then
          clkdivmy <= clkdivmy + 1;
       end if;
    end process;
    clk21m<=clkdivmy(1);
    Можно еще получше подобрать базовую частоту (вместо 300 МГц), чтобы результирующий клок был ближе к меандру, но особо это не будет заметно

  6. #86
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ivagor Посмотреть сообщение
    Можно еще получше подобрать базовую частоту (вместо 300 МГц), чтобы результирующий клок был ближе к меандру, но особо это не будет заметно
    Спасибо за урок.

  7. #87
    Guru
    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    7,855
    Спасибо Благодарностей отдано 
    654
    Спасибо Благодарностей получено 
    1,845
    Поблагодарили
    1,054 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    caro, это Вам большое спасибо за адаптацию этого проекта на de1. После покупки в прошлом году de1 sramные проекты не работали толком (я тогда не знал про особенности новой ревизии) и ocm был первым нормально работающим проектом. Еще надо бы вернуться к вопросу, почему на de1-soc не завелось, на de2-115 полет нормальный

  8. #88
    Activist
    Регистрация
    21.07.2010
    Адрес
    Ukraine
    Сообщений
    276
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ivagor Посмотреть сообщение
    Еще надо бы вернуться к вопросу, почему на de1-soc не завелось
    У меня на rev.D завелась, помню пришлось подшаманить работу c SDRAM.
    Хотел бы посоветоваться, по поводу шилда для DE1-SOC:

  9. #89
    Guru
    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    7,855
    Спасибо Благодарностей отдано 
    654
    Спасибо Благодарностей получено 
    1,845
    Поблагодарили
    1,054 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Спасибо за наводку на sdram (подправил и ocm запустился на de1-soc, особо не тестировал, но на первый взгляд работает). Я до этого думал, что проблема с загрузкой romа с sd карты, даже собирался дизассемблировать загрузчик
    По поводу шилда для de1-soc я вряд ли подходящий человек для посоветоваться. Готовую подобную платку я бы, пожалуй, купил (если не очень дорого) в рф или в китае, а что-то конструктивное по разработке я не могу сказать. Пока обхожусь платками на проводках. Это работает, но не очень удобно

  10. #90
    Guru
    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    7,855
    Спасибо Благодарностей отдано 
    654
    Спасибо Благодарностей получено 
    1,845
    Поблагодарили
    1,054 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    caro, планируете ли разводить и изготавливать платы под свой шилд? Я бы купил пару полностью собранных (можно без разъема под джойстик), если не очень дорого. Жаль, что звук только на воспроизведение и шим, аудиокодек или цап+ацп были бы круче

Страница 9 из 15 ПерваяПервая ... 5678910111213 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. music chip for zx
    от breeze в разделе Звук
    Ответов: 48
    Последнее: 29.03.2006, 11:39

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •