Важная информация

User Tag List

Страница 1 из 2 12 ПоследняяПоследняя
Показано с 1 по 10 из 18

Тема: ZX-MMC контроллер

Комбинированный просмотр

Предыдущее сообщение Предыдущее сообщение   Следующее сообщение Следующее сообщение
  1. #1
    Banned Аватар для Orionsoft
    Регистрация
    04.04.2005
    Адрес
    Санкт-Петербург
    Сообщений
    975
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию ZX-MMC контроллер

    ZX_MMC http://www.zxbada.bbk.org/zxmmc/

    судя по описанию представляет собой аппаратный котроллер SPI
    под спековские порты

    кому нибудь удалось скачать схему этого устройства ?
    а то у меня выдаёт черный квадрат заместо картинки или говорит - формат нераспознан.

    вопрос к гуру по cpld - если такую штуку делать , т0 в какой минимальный xilinx оно войдёт ? или можно перекопмпилировать
    програму например под альтеру ?

  2. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #2
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Orionsoft
    ZX_MMC http://www.zxbada.bbk.org/zxmmc/

    судя по описанию представляет собой аппаратный котроллер SPI
    под спековские порты
    Не только SPI:
    Код:
    TXREG   	equ $0F		; регистр передатчика RS232
    RXREG   	equ $0F		; регистр приемника RS232
    KEMPSTON	equ $1F
    STATUS  	equ $2F		; регистр состояния SPI
    NMIREG		equ $2F		; регистр готовности --> NMI Z80
    SPI_PORT	equ $3F               ; порт данных SPI
    OUT_PORT	equ $1F		; порт выборки CS control (D0)
    Цитата Сообщение от Orionsoft
    кому нибудь удалось скачать схему этого устройства ?
    а то у меня выдаёт черный квадрат заместо картинки или говорит - формат нераспознан.

    вопрос к гуру по cpld - если такую штуку делать , т0 в какой минимальный xilinx оно войдёт ? или можно перекопмпилировать
    програму например под альтеру ?
    У меня скачалось все без проблем.
    Устройство сделано на CPLD Xilinx XC9572XL-VQ44 (72 макроячейки).
    Переделывать под Alterу можно, но какой смысл, ведь автор выложил все
    что нужно для повторения устройства вплоть до исходника прошивки и трассировки печатной платы.

  4. #3
    Veteran Аватар для Mikka_A
    Регистрация
    23.10.2006
    Адрес
    С.Петербург
    Сообщений
    1,769
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    6
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    Question

    Цитата Сообщение от caro

    У меня скачалось все без проблем.
    у меня скачалось,но не открывается...непонятно,кст ати,почему.

    Камиль - можешь мне мылом схемку кинуть?

    Цитата Сообщение от caro

    Устройство сделано на CPLD Xilinx XC9572XL-VQ44 (72 макроячейки).
    а чем оно шьестся ( из общедоступного )?

    ЗЫ.Посмотрел по лавкам - плиска есть только в одной лавке в питере,да и то под заказ.

    10$ примерно по цене....
    Последний раз редактировалось Mikka_A; 14.11.2006 в 10:01.

  5. #4
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Mikka_A
    у меня скачалось,но не открывается...непонятно,кст ати,почему.
    Формат - JPEG old on TIFF.
    Надеюсь автор не обидится, сконвертировал в PNG.
    Последний раз редактировалось caro; 03.04.2011 в 18:07.

  6. #5
    Veteran Аватар для icebear
    Регистрация
    05.05.2005
    Адрес
    Германия
    Сообщений
    1,614
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Mikka_A
    10$ примерно по цене....
    Дешевле должна быть. Смотри другие скорости и корпуса. Этот камень кстати и в PLCC есть.

  7. #6
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от icebear
    Дешевле должна быть. Смотри другие скорости и корпуса. Этот камень кстати и в PLCC есть.
    Используется 10 нсек. кристал.
    По efind.ru цена колеблется от 3 до 12$

  8. #7
    Guru Аватар для fan
    Регистрация
    11.02.2005
    Адрес
    Москва
    Сообщений
    3,789
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    4
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Созрел вопрос отчасти по сабжу - ктонить может сказать какие таки чудо возможности спека +3 использует ResiDOS что была заточена только под него ???

    А сам васик +3 насколько сильно отличается от васика 128 (по коду прошивки) ???
    Спек128 - испанская выдумка, навязанная Сэру Клайву. © Shaos
    REAL HARDWARE MUST LIVE ! - http://SBLive.narod.ru/ZX-Spectrum/

  9. #8
    Master Аватар для ILoveSpeccy
    Регистрация
    20.03.2007
    Адрес
    Germany
    Сообщений
    867
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от caro Посмотреть сообщение
    автор выложил все
    что нужно для повторения устройства вплоть до исходника прошивки и трассировки печатной платы.
    Чтото я исходников не нашел Только JED-файлы.
    Дайте кто нибудь если есть....

  10. #9
    Guru Аватар для fan
    Регистрация
    11.02.2005
    Адрес
    Москва
    Сообщений
    3,789
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    4
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Тут у меня вспонтанно вопрос по сабжу возник - чем таким полезным занимается "bus_cnt" ??? (торчит в начале процесса , там где запись в порты).

    И вопрос скорее из оперы VHDL - что будет если к bus_cnt(1 downto 0) равный "11" прибавить ещё единицу ? Обнулится или останется "11" ?
    Спек128 - испанская выдумка, навязанная Сэру Клайву. © Shaos
    REAL HARDWARE MUST LIVE ! - http://SBLive.narod.ru/ZX-Spectrum/

  11. #10
    Guru Аватар для fan
    Регистрация
    11.02.2005
    Адрес
    Москва
    Сообщений
    3,789
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    4
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Шиздец... Я спрашиваю о том чего уже нет , пересэтэ...
    Даже с основного сайта все сырки поубирал... Злобный партизанен

    Собсно вот (в аттаче) , там только сырок , ибо весь проэкт состоящий из мусора в запакованном виде занимает аж полтара мега...
    Если кому нужен фаил с распиновкой чипа , то скажите какое у него расширение , а то файлов где торчит распиновка просто дофигища .

    Чуть не забыл - в файле залочена всякая там билиберда вроде RS232/кемпстона и оставлен SPI . Но это не значит что компилироваться будет только под SPI , чтоб было только под SPI нужно либо закоментировать , либо прибить всё лишнее , иначе только ячейки зря отжираться будут .
    Как разлочить RS232 и прочее ниписано внутри файла .

    Старая описака -
    The project fits into a Xilinx's XC9572XL 3.3V cpld, 5V tolerant. This component is well suited for interfacing the 5V ZX-Spectrum logic to 3.3V sd/mmc card logic. The picture above shows the cpld in VQ44 package, which is used in the project.

    After programming through the JTAG header, the CPLD will work as an SPI device on I/O port $3F.

    The 115K2baud RS-232, NMI handling and Kempston Joystick ports, who are present in the zx-badaloc version, are disabled by default in order to avoid conflicts with existing hardware. These features can be easily enabled by programming the alternate JEDEC file.

    Port List for the STANDARD version:

    $1F: WR = 2 bit chip select register (D0 = MMC0; D1 = MMC1), active LOW
    $3F: WR = SPI TX register; RD = SPI RX register (8 bit)


    Port List for the FULL version:

    $0F: WR = RS-232 TX register; RD = RS-232 receive register (8 bit)
    $1F: RD = KEMPSTON PORT (5 bit, '1' = input active); WR = 2 bit chip select register (D0 = MMC0; D1 = MMC1), active LOW
    $2F: WR = D0: 0 = NMI disabled; 1 = enabled. RD = read RS232 status (4 bit)
    $3F: WR = SPI TX register; RD = SPI RX register (8 bit)
    STATUS REGISTER ($2F):
    D0: 0 = receiver empty; 1 = receiver has data
    D1: 0 = no error; 1 = overrun
    D2: 0 = no error; 1 = framing error
    D3: 0 = transmitter idle (ready); 1 = transmitter full


    Further details can be found in the VHDL source code. Please note that the SPI-only version still has WRITE ENABLED on all ports. This means that a byte written to port $0F will be sent through the rs-232 TX pin in all versions. READING is disabled on all ports but $3F. Chip selects control is then assured by writing to port $1F in all versions (D0 = MMC0, D1 = MMC1). Internal CPLD logic avoids simultaneous chip select activation: in case "00" is written to this register: MMC0 takes over (MMC1 chip select will be forced HIGH). Both chip selects are in HIGH (inactive) state at power-on.

    When the processor reads one of the above I/O ports for the full version, or the SPI port for the standard version, the corresponding data is placed on databus.

    When the processor writes to one of the above I/O ports, then the CPLD starts an internal counter that latches databus status into proper internal register on the falling edge of T3 cycle, which is right in the middle of the write operation. Since IORQ and WR are issued on the rising edge of main clock (on T2), the internal logic (counter and latches) works on falling edge in order to guarantee reliability in the count process.

    This diagram shows a write cycle to port $3F, which is the SPI OUT register. For debug purposes, a "capture" signal has been configured on the CS1 pin (unused), that goes high when data is latched (which happens on the falling edge of T3 cycle, as stated above). The diagram also shows how the byte is transferred to the SD.MMC card in the following 16T-states (SPI CLOCK is toggled on each Z80 T-state). The byte written to port $3F was "$55".
    Вложения Вложения
    Последний раз редактировалось fan; 15.07.2007 в 04:45.
    Спек128 - испанская выдумка, навязанная Сэру Клайву. © Shaos
    REAL HARDWARE MUST LIVE ! - http://SBLive.narod.ru/ZX-Spectrum/

Страница 1 из 2 12 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. [Поиск 1] Контроллер IDE
    от CHRV в разделе Поиск
    Ответов: 25
    Последнее: 02.10.2020, 16:07
  2. БезWaitовый контроллер клавиатуры
    от caro в разделе Устройства ввода
    Ответов: 68
    Последнее: 01.07.2015, 05:42
  3. Контроллер многозадачной ОС
    от Conan в разделе Оси
    Ответов: 50
    Последнее: 20.09.2009, 10:56
  4. Контроллер Kempston mouse
    от caro в разделе Устройства ввода
    Ответов: 3
    Последнее: 13.04.2009, 20:55
  5. Контроллер клавиатуры для Скорпиона
    от Nikolaj Amosov (500:812/02.26) в разделе Scorpion
    Ответов: 1
    Последнее: 15.11.2005, 23:05

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •