Важная информация

User Tag List

Страница 5 из 10 ПерваяПервая 123456789 ... ПоследняяПоследняя
Показано с 41 по 50 из 97

Тема: Львов 48кб 1988-го на РУ6 и РУ5

  1. #41
    Guru
    Регистрация
    14.05.2015
    Адрес
    г. Харьков, Украина
    Сообщений
    2,940
    Спасибо Благодарностей отдано 
    150
    Спасибо Благодарностей получено 
    302
    Поблагодарили
    171 сообщений
    Mentioned
    4 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    SERGEY256, учитывая что времянки одинаковые думаю надо двигать INT относительно кадрового синхроимпульса.
    Увы общий формат форума вышел из рамок моего любимого места , более тут не бываю.

  2. #42
    Member
    Регистрация
    28.02.2005
    Адрес
    г.Волгоград
    Сообщений
    75
    Спасибо Благодарностей отдано 
    129
    Спасибо Благодарностей получено 
    13
    Поблагодарили
    12 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    JV-Soft запусти еще вот этоIntTest.zip у тебя в тесте пишет что Int слишком короткий, у меня тоже такое было и в тесте INT был на границе между "мала" и "норма" я увеличел емкость конденсатора С11 до 1500 пф и теперь длительность нормальная Нажмите на изображение для увеличения. 

Название:	180313-202633-158.jpg 
Просмотров:	296 
Размер:	45.7 Кб 
ID:	64610.
    Здесь можешь скачать и посмотреть схемуhttp://www.zx-moscow.ru/lvov?i=1
    А вот как задержать или ускорить приход Int я не знаю.

  3. #43
    Guru
    Регистрация
    14.05.2015
    Адрес
    г. Харьков, Украина
    Сообщений
    2,940
    Спасибо Благодарностей отдано 
    150
    Спасибо Благодарностей получено 
    302
    Поблагодарили
    171 сообщений
    Mentioned
    4 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от SERGEY256 Посмотреть сообщение
    JV-Soft запусти еще вот этоIntTest.zip
    Ок завтра попробую.

    Цитата Сообщение от SERGEY256 Посмотреть сообщение
    А вот как задержать или ускорить приход Int я не знаю.
    Пока не хватает времени на эксперименты , посмотрю схемы ,может что то по ним напишу.
    Увы общий формат форума вышел из рамок моего любимого места , более тут не бываю.

  4. #44
    Master
    Регистрация
    11.04.2006
    Адрес
    Novosibirsk
    Сообщений
    966
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    8
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от SERGEY256 Посмотреть сообщение
    А вот как задержать или ускорить приход Int я не знаю.
    Отложить можно RC цепью. В большинстве клонов так CAS из RAS делают. А вот сделать раньше - уже надо по индивидуальной схеме череп морщить.

  5. #45
    Guru
    Регистрация
    27.02.2005
    Адрес
    москва
    Сообщений
    13,767
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    142
    Спасибо Благодарностей получено 
    1,173
    Поблагодарили
    770 сообщений
    Mentioned
    18 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    я бы сначала проверил тест (название/ссылку забыл) где полоску надо подгонять на край paper
    и там сообщается на каком такте начинается её рисование

  6. #46
    Guru
    Регистрация
    14.05.2015
    Адрес
    г. Харьков, Украина
    Сообщений
    2,940
    Спасибо Благодарностей отдано 
    150
    Спасибо Благодарностей получено 
    302
    Поблагодарили
    171 сообщений
    Mentioned
    4 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от goodboy Посмотреть сообщение
    я бы сначала проверил тест (название/ссылку забыл) где полоску надо подгонять на край paper
    и там сообщается на каком такте начинается её рисование
    Я много пропустил ,такого точно не помню ,может кто то подскажет ,а по инту думаю его немного надо просто натянуть что б он чуток позжее КС был. После RC еще элемент повторитель и кондер.
    Увы общий формат форума вышел из рамок моего любимого места , более тут не бываю.

  7. #46
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #47
    Guru
    Регистрация
    27.02.2005
    Адрес
    москва
    Сообщений
    13,767
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    142
    Спасибо Благодарностей получено 
    1,173
    Поблагодарили
    770 сообщений
    Mentioned
    18 Post(s)
    Tagged
    0 Thread(s)

  9. #48
    Member
    Регистрация
    28.02.2005
    Адрес
    г.Волгоград
    Сообщений
    75
    Спасибо Благодарностей отдано 
    129
    Спасибо Благодарностей получено 
    13
    Поблагодарили
    12 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от creator Посмотреть сообщение
    Возножно статейки из ZX Ревю окажутся полезными:

    ZX Ревю №3 1995
    Собрал формирователь INT на ТМ2 получил
    Нажмите на изображение для увеличения. 

Название:	180315-000657-611.jpg 
Просмотров:	321 
Размер:	45.7 Кб 
ID:	64624

    Нажмите на изображение для увеличения. 

Название:	180315-012729-154.jpg 
Просмотров:	308 
Размер:	60.7 Кб 
ID:	64625
    Осталось немного.
    Последний раз редактировалось SERGEY256; 15.03.2018 в 01:30.

  10. #49
    Master
    Регистрация
    11.04.2006
    Адрес
    Novosibirsk
    Сообщений
    966
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    8
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А теперь вектрон еще в студию =))) Он кстати будет показательнее потому-что у него бордюрный эффект идет почти по всей высоте экрана.

    Кстати в какой-то игрушке от players premier из журнала sinclair user (она была не лоченая форматом, поэтому в союзе ходила в родном виде, не хаченая, с родным лоадером) при tape loading error рисуется вертикальная радуга на бордюре. Что меня много лет назад поразило, на PLM автоматике она рисовалась правильно.
    Последний раз редактировалось ram_scan; 15.03.2018 в 17:31.

  11. #50
    Member
    Регистрация
    28.02.2005
    Адрес
    г.Волгоград
    Сообщений
    75
    Спасибо Благодарностей отдано 
    129
    Спасибо Благодарностей получено 
    13
    Поблагодарили
    12 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ram_scan Посмотреть сообщение
    А теперь вектрон еще в студию
    Немного попозже. Вспомнил что в ZX Ревю была еще одна интересная статья про INT. Нашел №6 за 1996 год. Кусочек вставлю:
    Нажмите на изображение для увеличения. 

Название:	Безымянный.jpg 
Просмотров:	286 
Размер:	35.0 Кб 
ID:	64633

    Попробую собрать, может получится получше отрегулировать положение INT.

Страница 5 из 10 ПерваяПервая 123456789 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Компьютер "Львов ПК-01"
    от Максагор в разделе Львов
    Ответов: 226
    Последнее: 08.12.2020, 00:49
  2. Классное новое видео про 1988 год
    от AAA в разделе Новости
    Ответов: 4
    Последнее: 14.10.2008, 17:52
  3. Дельта-С 48КБ
    от Sonic в разделе Дельта-С
    Ответов: 1
    Последнее: 02.07.2007, 10:34

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •