Важная информация

User Tag List

Показано с 1 по 8 из 8

Тема: Содержимое D36/155РЕ3, а возможно и D37

  1. #1
    Member
    Регистрация
    26.12.2021
    Адрес
    г. Санкт Петербург
    Сообщений
    62
    Спасибо Благодарностей отдано 
    30
    Спасибо Благодарностей получено 
    31
    Поблагодарили
    17 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию Содержимое D36/155РЕ3, а возможно и D37

    Здравствуйте, коллеги!

    Завершив борьбу с Burn_RT 1.1, занялся дальнейшими раскопками и вычитал обе родных РЕ3 со своего Вектора (+сделал копии)

    В D36, которая заведует распределением RAS/CAS/MXn и остальных основных сигналов временной диаграммы, я нашел следующее
    Код:
    U8 data[] = {
    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
    0x99, 0x99, 0x99, 0xD9, 0xDB, 0x9A, 0xDB, 0xDB,
    0x05, 0x0D, 0x09, 0x23, 0x07, 0x17, 0x15, 0x1D,
    0x9D, 0x07, 0x07, 0x07, 0x07, 0x07, 0x07, 0x07
    };
    Это совпадает с тем, что приведено в доке к Астраханской редакции Вектора http://sensi.org/scalar/ware/514/
    Но тут на форуме я встречал снятые логическим анализатором USBee диаграммы, к сожалению картинки сохранил,
    но автора не запомнил Картинка немного переделана для выравнивания на цикл - приятно, когда ИЕ7 в схеме считает с нуля.



    И тут прошивка явно отличается от моей, как минимум в том, что RAS возвращается в 1 не раньше CAS, а вместе с ним.
    По картинке были подсмотрены значения ячеек ПЗУ и сохранена альтернативная прошивка.
    Для осознания поведения сего аппаратно-программного узла был написан эмулирующий код на GCC, который по
    прошивке строит "осциллограмму", отталкиваясь от 12MHz С0 сигнала. Получилось следующее:

    Код:
    Starting Their RE3...
    ADDR    :1 1 1 1 1 1 1 1 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 
            :0 1 2 3 4 5 6 7 8 9 A B C D E F 0 1 2 3 4 5 6 7 8 9 A B C D E F 
    CLK12   :_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^
    CLK6    :__^^__^^__^^__^^__^^__^^__^^__^^__^^__^^__^^__^^__^^__^^__^^__^^
    CLK3    :____^^^^____^^^^____^^^^____^^^^____^^^^____^^^^____^^^^____^^^^
    CLK1_5  :________^^^^^^^^________^^^^^^^^________^^^^^^^^________^^^^^^^^
    CLK0_75 :________________^^^^^^^^^^^^^^^^________________^^^^^^^^^^^^^^^^
    A4      :^^^^^^^^^^^^^^^^____________^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
    DATA    :0 0 0 2 0 1 1 1 9 9 9 D D 9 0 0 0 0 0 2 0 1 1 1 9 0 0 0 0 0 0 0 
            :5 D 9 1 7 7 5 D D 9 9 9 9 A 6 7 5 D 9 1 7 7 5 D D 7 7 7 7 7 6 7 
    !RES    :^^^^^^^^^^^^^^^^^^^^^^^^^^____^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^__^^
    !RAS    :________^^^^______________^^^^^^________^^^^______^^^^^^^^^^^^^^
    !CAS    :^^^^____^^^^^^^^^^__________^^^^^^^^____^^^^^^^^^^^^^^^^^^^^^^^^
    MX1     :__^^^^________^^^^^^^^^^^^^^______^^^^________^^^^______________
    MX2     :__________^^^^^^^^^^^^^^^^^^______________^^^^^^^^______________
    WVR     :______^^______________________________^^________________________
    W       :______________________^^^^______________________________________
    SET     :________________^^^^^^^^^^^^____________________^^______________
    На моей прошивке получается так:
    Код:
    Starting My RE3...
    ADDR    :1 1 1 1 1 1 1 1 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 
            :0 1 2 3 4 5 6 7 8 9 A B C D E F 0 1 2 3 4 5 6 7 8 9 A B C D E F 
    CLK12   :_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^
    CLK6    :__^^__^^__^^__^^__^^__^^__^^__^^__^^__^^__^^__^^__^^__^^__^^__^^
    CLK3    :____^^^^____^^^^____^^^^____^^^^____^^^^____^^^^____^^^^____^^^^
    CLK1_5  :________^^^^^^^^________^^^^^^^^________^^^^^^^^________^^^^^^^^
    CLK0_75 :________________^^^^^^^^^^^^^^^^________________^^^^^^^^^^^^^^^^
    A4      :^^^^^^^^^^^^^^^^____________^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
    DATA    :0 0 0 2 0 1 1 1 9 9 9 D D 9 0 0 0 0 0 2 0 1 1 1 9 0 0 0 0 0 0 0 
            :5 D 9 3 7 7 5 D 9 9 9 9 B A 7 7 5 D 9 3 7 7 5 D D 7 7 7 7 7 7 7 
    !RES    :^^^^^^^^^^^^^^^^^^^^^^^^^^__^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
    !RAS    :______^^^^^^____________^^^^^^^^______^^^^^^______^^^^^^^^^^^^^^
    !CAS    :^^^^____^^^^^^^^____________^^^^^^^^____^^^^^^^^^^^^^^^^^^^^^^^^
    MX1     :__^^^^________^^^^^^^^^^^^^^______^^^^________^^^^______________
    MX2     :__________^^^^^^^^^^^^^^^^^^______________^^^^^^^^______________
    WVR     :______^^______________________________^^________________________
    W       :______________________^^^^______________________________________
    SET     :________________^^^^^^^^^^^^____________________^^______________
    Что мне пока не до конца ясно, так это то, что в схеме бит адреса A4 заведен как результат обратной связи выхода Q7 ПЗУ
    и сигнала 11 шины управления по И/НЕ. Как я понимаю, такой подход делает из простой комбинаторной схемы некое подобие
    конечного автомата и бит SET/Q7 как раз переключает ветки выбора (и половинки ПЗУ).
    Судя по схеме, сигнал 11 шины управления установлен в 1 при любом обращении к памяти (или на элементе D15.3)

    Соответственно, есть вопрос: существуют ли дампы D36 отличные от приведенного здесь, и, если существуют,
    можно ли ими поделиться. Ибо 32 байта можно и текстом отписать.

    Спасибо!

  2. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #2
    Guru Аватар для tnt23
    Регистрация
    28.03.2006
    Адрес
    Санкт-Петербург
    Сообщений
    2,634
    Спасибо Благодарностей отдано 
    392
    Спасибо Благодарностей получено 
    78
    Поблагодарили
    63 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Эмулятор времянок красивый. Что задние фронты (0->1) RAS и CAS не совпадают, в том, по-моему, большой беды нет. Для циклов записи и чтения важны передние фронты (1->0) RAS/CAS/WR, если я правильно помню.

    По существу вопроса ничего сказать не могу.

  4. Этот пользователь поблагодарил tnt23 за это полезное сообщение:

    megov (31.01.2022)

  5. #3
    Member
    Регистрация
    26.12.2021
    Адрес
    г. Санкт Петербург
    Сообщений
    62
    Спасибо Благодарностей отдано 
    30
    Спасибо Благодарностей получено 
    31
    Поблагодарили
    17 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от tnt23 Посмотреть сообщение
    Эмулятор времянок красивый.
    Да так, накорябал между делом.

    Это понятно, что для DRAM падающие в 0 !RAS и !CAS являются стробами адреса,
    но, например у коллег как раз с задними фронтами были проблемы: https://zx-pk.ru/threads/22217-combo...l=1#post682446

    Для понимания, какую функциональность воткнули в D36, хотелось бы всю картину обозреть, включая другие прошивки.

  6. #4
    Master Аватар для Improver
    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    975
    Спасибо Благодарностей отдано 
    428
    Спасибо Благодарностей получено 
    396
    Поблагодарили
    221 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от megov Посмотреть сообщение
    существуют ли дампы D36 отличные от приведенного здесь
    Кажется, в описании ERAM была доработка Вектора с заменой прошивки РЕ3, там как раз что-то менялось в ras/cas. Прошивка и описание было в Вектор-User.

  7. Этот пользователь поблагодарил Improver за это полезное сообщение:

    megov (31.01.2022)

  8. #5
    Guru Аватар для tnt23
    Регистрация
    28.03.2006
    Адрес
    Санкт-Петербург
    Сообщений
    2,634
    Спасибо Благодарностей отдано 
    392
    Спасибо Благодарностей получено 
    78
    Поблагодарили
    63 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от megov Посмотреть сообщение
    Это понятно, что для DRAM падающие в 0 !RAS и !CAS являются стробами адреса,
    но, например у коллег как раз с задними фронтами были проблемы: https://zx-pk.ru/threads/22217-combo...l=1#post682446
    Там не вполне понятно, о чем речь:

    Оказалось, что в цикле обращения к памяти у Вектора сигнал /RAS снимается до /CAS
    Можно понять двояко: либо /RAS снимается до активации /CAS (и тогда это что угодно, но не цикл обращения к памяти - а какой-нибудь цикл регенерации), либо /RAS снимается до деактивации /CAS (а тут надо смотреть время удержания /RAS и как оно мешает работе с быстрой памятью).

  9. #6
    Veteran Аватар для nzeemin
    Регистрация
    20.12.2005
    Адрес
    Москва
    Сообщений
    1,996
    Спасибо Благодарностей отдано 
    1,062
    Спасибо Благодарностей получено 
    1,224
    Поблагодарили
    478 сообщений
    Mentioned
    15 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от megov Посмотреть сообщение
    Для осознания поведения сего аппаратно-программного узла был написан эмулирующий код на GCC, который по
    прошивке строит "осциллограмму", отталкиваясь от 12MHz С0 сигнала. Получилось следующее:
    Немного оффтоп, но для красивой картинки можно ещё было генерить WaveDrom JSON - https://wavedrom.com/

  10. Эти 2 пользователя(ей) поблагодарили nzeemin за это полезное сообщение:

    megov (01.02.2022), tnt23 (01.02.2022)

  11. #7
    Member
    Регистрация
    26.12.2021
    Адрес
    г. Санкт Петербург
    Сообщений
    62
    Спасибо Благодарностей отдано 
    30
    Спасибо Благодарностей получено 
    31
    Поблагодарили
    17 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Оказалось, что в цикле обращения к памяти у Вектора сигнал /RAS снимается до /CAS
    Может и двояко, но я понимаю "снятие" как возвращение к неактивному уровню (1). И действительно, на моей РЕ3 !RAS снимается где на такт,
    а где и на два раньше !CAS (такт=12Мгц):
    Код:
        C0_12M:_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^
           RAS:______^^^^^^____________^^^^^^^^______^^^^^^______^^^^^^^^^^^^^^
           CAS:^^^^____^^^^^^^^____________^^^^^^^^____^^^^^^^^^^^^^^^^^^^^^^^^
    В то время, как у коллег снятие !RAS задержано на такт и фронты !RAS и !CAS выровнены в 2х из 3х обращений:
    Код:
        C0_12M:_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^_^
           RAS:________^^^^______________^^^^^^________^^^^______^^^^^^^^^^^^^^
           CAS:^^^^____^^^^^^^^^^__________^^^^^^^^____^^^^^^^^^^^^^^^^^^^^^^^^
    Я еще не осознал общесистемную циклограмму Вектора, поэтому надо в программулину добавить CPU
    с его фазировкой и циклами, чтобы лучше понять взаимодействие. Кроме того мне подозрительна обратная
    связь с Q7/D36 на A4/D36 через 2И-НЕ с сигналом 11 шины управления. Сигнал 11 я идентифицировал как
    MEM, равный 1 при обращении CPU к памяти, и который переключает половинки РЕ3.

    - - - Добавлено - - -

    Цитата Сообщение от nzeemin Посмотреть сообщение
    Немного оффтоп, но для красивой картинки можно ещё было генерить WaveDrom JSON - https://wavedrom.com/
    Так-то, безусловно, спасибо. Но в трудовой деятельности уже настолько поддостали всяческие hi-tech порождения и современные подходы
    ничем не ограниченного программистского гения, типа RxJav'ы, Spock'a, Swagger'а и Docker'а с Kubernetes'ом дополненных многочисленными
    OpenAPI'ями, YAML'ами и JSON'ами, что сильно хочется на простом С порисовать крестиками и ноликами.
    Ну и за паяльник взяться с правильной стороны!

  12. #8
    Guru Аватар для svofski
    Регистрация
    20.06.2007
    Адрес
    С.-Петербург
    Сообщений
    4,116
    Спасибо Благодарностей отдано 
    792
    Спасибо Благодарностей получено 
    658
    Поблагодарили
    403 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от megov Посмотреть сообщение
    Так-то, безусловно, спасибо. Но в трудовой деятельности уже настолько поддостали всяческие hi-tech порождения и современные подходы
    ничем не ограниченного программистского гения, типа RxJav'ы, Spock'a, Swagger'а и Docker'а с Kubernetes'ом дополненных многочисленными
    OpenAPI'ями, YAML'ами и JSON'ами, что сильно хочется на простом С порисовать крестиками и ноликами.
    Ну и за паяльник взяться с правильной стороны!
    Оффтопно солидарен =)
    Больше игр нет

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Программатор ППЗУ 155РЕ3, 556РТ4 и других
    от solegstar в разделе Оборудование
    Ответов: 938
    Последнее: 03.02.2024, 12:58
  2. Ответов: 2
    Последнее: 28.09.2018, 14:46
  3. Ищу содержимое микросхемы R65FR1
    от rw6hrm в разделе Зарубежные компьютеры
    Ответов: 1
    Последнее: 23.12.2015, 20:23
  4. содержимое ПЗУ В ОЗУ
    от Руслан в разделе Память
    Ответов: 23
    Последнее: 10.04.2013, 20:23

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •