Важная информация

User Tag List

Страница 177 из 191 ПерваяПервая ... 173174175176177178179180181 ... ПоследняяПоследняя
Показано с 1,761 по 1,770 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #1761
    Master Аватар для valerium
    Регистрация
    03.05.2020
    Адрес
    г. Челябинск
    Сообщений
    760
    Записей в дневнике
    2
    Спасибо Благодарностей отдано 
    225
    Спасибо Благодарностей получено 
    257
    Поблагодарили
    136 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от SoftLight Посмотреть сообщение
    На всякий случай, сохранил все нужные версии сюда.
    Ссылка не работает... Куда-то переехало или утеряно навсегда ?

    И еще вопрос: как отличить CPLD, у которых залочен JTAG ? Есть несколько EPM7128SLC84-15N, две совершенно китайского происхождения с али, еще две - неизвестного (куплены в России, но на них есть серийные номера снизу мелким шрифтом). Ни одна из 4-х не шьется USB-бластером в sizif128.
    Бластер нормально до этого шил EPM240, EPM3128, оригинальные EPM3032 и даже одну китайскую EPM7064 на 5 вольтах. А с этими - полная тишина, JTAG debugger после кучи попыток может считать с них IDCODE, в котором какой-то мусор. Куда копать ?
    Последний раз редактировалось valerium; 29.09.2020 в 23:01.

  2. #1762
    Guru Аватар для SoftLight
    Регистрация
    28.02.2005
    Адрес
    Москва
    Сообщений
    2,082
    Спасибо Благодарностей отдано 
    737
    Спасибо Благодарностей получено 
    451
    Поблагодарили
    250 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от valerium Посмотреть сообщение
    Ссылка не работает... Куда-то переехало или утеряно навсегда ?
    Ничего не утеряно, просто MS залочил корпоративную учетку где все лежало. Выложил все что есть на Yandex.


    Скачать:
    Quartus 2.2,3.0,4.0,4.1,4.2,5.0,6.0,8.0,9.0,9.1,11.0,18.0 + MAX + PLUS II
    Quartus 13.0
    Quartus 13.1
    Последний раз редактировалось SoftLight; 30.09.2020 в 01:07.

  3. Эти 7 пользователя(ей) поблагодарили SoftLight за это полезное сообщение:

    HardWareMan (30.09.2020), Mick (30.09.2020), Mor-Da (26.02.2021), PavelZX (30.09.2020), shurik-ua (30.09.2020), Totem (30.09.2020), valerium (30.09.2020)

  4. #1763
    Guru Аватар для Totem
    Регистрация
    13.12.2007
    Адрес
    Архангельск
    Сообщений
    2,189
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    11 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от valerium Посмотреть сообщение
    Бластер нормально до этого шил EPM240, EPM3128, оригинальные EPM3032
    лет 5-6 назад, у меня был покупной бластер, построенный на 3064, ft245b и буфере lcx245 -хоть микросхема и 5V tolerant TTL, 7000 серию бластер не видел.
    Ты слыхал как грузится Flyshark ?! нет, совсем не тот, что на дискете...а Flyshark, тот самый блин Flyshark...тот ,что был когда то на кассете...
    zx spectrum 48 issuse 6a, Ленинград-1, zx spectum 128 +2 grey,Пентагон-128, ZXM-Phoenix 5.02 ( assembly)

  5. #1764
    Veteran Аватар для tank-uk
    Регистрация
    10.06.2015
    Адрес
    г. Запорожье, Украина
    Сообщений
    1,712
    Спасибо Благодарностей отдано 
    80
    Спасибо Благодарностей получено 
    415
    Поблагодарили
    198 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Totem Посмотреть сообщение
    7000 серию бластер не видел.
    китайский бластер на STM32 великолепно и макс3000 и макс7000 хавает

    Скрытый текст


    Специалист "УЦА" +SD-Vinxru +PS/2-Vinxru
    PLM-X 128 + AY -эмуль на 8меге
    Profi V3.2 - 768+Color-CP/M+ProfiExtender Solegstar
    Profi V3.2 -1024 Sim30+Color-CP/M+ProfiExtender Solegstar
    Pentagon 1024SL 1.42 - работает не совсем стабильно
    ZXM-Phoenix V06
    ZX-Evolition Rev C2 White FSP-MItX
    Sprinter 2000 v.Zorel
    Karabas128 + ZX-Taper by TrolSoft +DivSD +ScanDoubler
    ZX-UNO v4.1 2М с 4 переключаемыми флешками
    Поиск-1 - ждет ремонта
    Корвет ПК8010 up to 8020
    MisT 1.31
    Galaksija + SMD
    [свернуть]

  6. #1765
    Activist
    Регистрация
    26.07.2015
    Адрес
    г. Хабаровск
    Сообщений
    221
    Спасибо Благодарностей отдано 
    13
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Много проектов встречаю в Quartus 9, если возможность открыть такой в версии 13.0? Чип она поддерживает, если что... Ставить старую версию, но она пойдёт ли на 10-ке?

  7. #1766
    Master
    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    725
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    75
    Поблагодарили
    34 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от PavelZX Посмотреть сообщение
    Много проектов встречаю в Quartus 9, если возможность открыть такой в версии 13.0? Чип она поддерживает, если что... Ставить старую версию, но она пойдёт ли на 10-ке?
    Открыть должен, он лишь переконвертирует старый проект в версию 13.
    Можно попробовать поставить Quartius 9.1 и программатор с дровами от 13 версии.
    Последний раз редактировалось IanPo; 04.10.2020 в 20:15.
    ZXM-Phoenix rev.01 2048K, VG93 hw emulator

  8. #1767
    Activist
    Регистрация
    26.07.2015
    Адрес
    г. Хабаровск
    Сообщений
    221
    Спасибо Благодарностей отдано 
    13
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Хотел Спекки 2010 на свою старую девборду перенести, немного модифицировать, если получится (там ещё и ARM дорогой используется, к сожалению, но всё равно хочу на STM32 проект делать). В итоге поставил и 9.1, но топ не открывается в нём, как и в 13.0, почему-то. Все 208 ног с нуля разводить долго... Ладно переживём)

  9. #1768
    Master
    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    725
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    75
    Поблагодарили
    34 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Распиновки и определения выводов находятся в QSF-файле. Можно просто скопировать текст оттуда.
    Только у вас-то наверняка все по-другому разведено.
    А что Квартус пишет при открытии, какую ошибку?
    ZXM-Phoenix rev.01 2048K, VG93 hw emulator

  10. #1769
    Veteran Аватар для zebest
    Регистрация
    11.01.2008
    Адрес
    Ладошкино
    Сообщений
    1,673
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    323
    Спасибо Благодарностей получено 
    221
    Поблагодарили
    174 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Speccy2010 компилится и под 9 и под 13 версией. Тоже мне , нашли проблему. Вот stm-ку с функционалом перенести думаю посложнее, впрочем каждый изобретает вилисапеды по-своемуу.
    Вот кто бы подсказал, как из одной корки SDRAM сделать другую, с несколько другим кол-вом колонок и строк.
    Можно было бы тогда еще один интересный проект Цпектрума портировать. Когда-нибудь.
    Profi v3.2 -=- Speccy2010,r2

  11. #1770
    Veteran Аватар для dosikus
    Регистрация
    29.03.2005
    Адрес
    Ярославль
    Сообщений
    1,102
    Спасибо Благодарностей отдано 
    14
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Пытаюсь сделать сдвиговый регистр с загрузкой байта через 8 тактов пиксельклока.
    vaddr глобальный счетчик видимых пикселей ,
    младшие три бита [2:0] счетчик битов для загрузки текущего байта с VRAM для последующего сдвига в видеовыход ,
    старшие адреса [18:3] текущий адрес в VRAM .
    doubled - пиксельклок


    always @(posedge doubled)
    begin
    if( ~vaddr[0] & ~vaddr[1] & ~vaddr[2]) shift_reg[7:0] <=vdata[7:0]; else shift_reg[7:0] <= shift_reg[7:0] << 1;

    if (disp_en)video<=shift_reg[7]; else video<=1'b0;

    end

    assign video_out = video;
    и RTL



    - - - Добавлено - - -

    Переделал так :
    always @(posedge doubled)
    begin

    if (disp_en)video<=shift_reg[7]; else video<=1'b0;
    if( ~vaddr[0] & ~vaddr[1] & ~vaddr[2]) shift_reg[7:0] =vdata[7:0];
    begin
    shift_reg[7:0] <= shift_reg[7:0] << 1;
    end

    end


    assign video_out = video;




    Сдвиг и выхлоп видео работает, но почему-то и загрузка 7ми битная и теряется один бит

    - - - Добавлено - - -

    Похоже победил, по крайней мере выхлоп видео адекватный.
    always @(posedge doubled)
    begin

    if( vaddr[2:0] ==3'b000) shift_reg[7:0] <=vdata[7:0]; else shift_reg[7:0] <= shift_reg[7:0] << 1'b1;

    end


    assign video_out = shift_reg[7] & disp_en;


    - - - Добавлено - - -

    Точно победил :
    always @(posedge doubled)
    begin

    if( vaddr[2:0] ==3'b000) shift_reg[7:0] <=vdata[7:0]; else shift_reg[7:1] <= shift_reg[6:0] ;

    end


    assign video_out = shift_reg[7] & disp_en;

    ZXM-Phoenix 1024+PROF ROM+SMUC+VGA
    Profi 1024+CF+CPM+VGA
    ATARI 800XL+SIO2PC+SIO2SD
    RK86@Maximite

Страница 177 из 191 ПерваяПервая ... 173174175176177178179180181 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4534
    Последнее: 04.04.2024, 23:32
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •