Важная информация

User Tag List

Страница 178 из 191 ПерваяПервая ... 174175176177178179180181182 ... ПоследняяПоследняя
Показано с 1,771 по 1,780 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #1771
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,405
    Спасибо Благодарностей отдано 
    320
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Это не загрузка 7ми битная, это у тебя лаг на 1 такт. А маска приходит нормально. А что мешает сделать затемнение как в православных компах?
    Код:
    if (disp_en & ~vaddr[0] & ~vaddr[1] & ~vaddr[2]) shift_reg[7:0] <= vdata[7:0]; else shift_reg[7:0] <= {shift_reg[6:0],1'b0};
    
    assign video_out = shift_reg[7];
    Если сожрёт левые 8 точек то надо сместить disp_en на 8 точек влево (т.е. этот сигнал должен покрывать циклы обращения). Плюс данного метода в том, что последние 8 точек выдвинутся и автоматом закончатся, что не будет порождать паразитные вертикальные линии на стыке.

  2. #1771
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #1772
    Veteran Аватар для dosikus
    Регистрация
    29.03.2005
    Адрес
    Ярославль
    Сообщений
    1,102
    Спасибо Благодарностей отдано 
    14
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    HardWareMan, благодарствую.

    Тут такое дело, что все еще на стадии экспериментов , в наличии только платка от zst с EPM3128 http://www.zxkit.ru/katalog-1/zxkit-017.
    Даже срам пока подцепить не могу(жду разъемы ) приходится пока полосы на экране смотреть.
    Да еще тактируется все от 14МГц с удвоителем внутри CPLD.

    Так что пока буду тупить...


    Пока остановился на этом...
    always @(posedge doubled)
    begin

    if( disp_en & (vaddr[2:0] ==3'h0)) shift_reg[7:0] <=vdata[7:0]; else shift_reg[7:0] <= {shift_reg[6:0],1'b0};
    end


    assign video_out = shift_reg[7];
    assign vram_addr[14:0] = vaddr[18:4];
    Последний раз редактировалось dosikus; 10.10.2020 в 13:03.
    ZXM-Phoenix 1024+PROF ROM+SMUC+VGA
    Profi 1024+CF+CPM+VGA
    ATARI 800XL+SIO2PC+SIO2SD
    RK86@Maximite

  4. #1773
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,405
    Спасибо Благодарностей отдано 
    320
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Замени 3'b000 на 3'h0. Ничего не изменится с точки зрения схемы, а вот глазу приятнее.

  5. #1774
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Такой вопрос - для подключения через JTAG к 4-ому циклону достаточно запитать только Core или надо подавать питание на всё сразу? Хочу проверить чипы, но запаивать на шары все 10 штук чисто ради проверки - тот ещё квест. А так - можно и на проводочках присоединить всё...
    "Байт-48"

  6. #1775
    Veteran Аватар для dosikus
    Регистрация
    29.03.2005
    Адрес
    Ярославль
    Сообщений
    1,102
    Спасибо Благодарностей отдано 
    14
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    HardWareMan, Исправил .
    Вопрос- а вот модульный проект несет какие либо дополнительные расходы?
    Может для таких мелких CPLD все в одном файле и в одном модуле действенней?
    ZXM-Phoenix 1024+PROF ROM+SMUC+VGA
    Profi 1024+CF+CPM+VGA
    ATARI 800XL+SIO2PC+SIO2SD
    RK86@Maximite

  7. #1776
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,405
    Спасибо Благодарностей отдано 
    320
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Несёт только для фиттера. Он по умолчанию старается компоновать каждый модуль кучнее. Иногда вынос чего-нибудь в модуль реально помогает. Но против злого колдунства ручного мэнеджмента фиттера ни один компилятор не устоит. Но такое джитсу следует познавать дольше чем Дао.

  8. #1777
    Activist Аватар для omercury
    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    Такой вопрос - для подключения через JTAG к 4-ому циклону достаточно запитать только Core или надо подавать питание на всё сразу?
    Как минимум, тот порт, в котором JTAG, тоже питать надо.

  9. #1778
    Activist
    Регистрация
    26.07.2015
    Адрес
    г. Хабаровск
    Сообщений
    221
    Спасибо Благодарностей отдано 
    13
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от IanPo Посмотреть сообщение
    А что Квартус пишет при открытии, какую ошибку?
    Can't find design entity 'speccy2010_top'
    Главный прикол, что я поставил уже Квартус 9.1 и ошибка такая же)

    Насчёт QSF-файла спасибо, попробую разобраться...

  10. #1779
    Veteran Аватар для dosikus
    Регистрация
    29.03.2005
    Адрес
    Ярославль
    Сообщений
    1,102
    Спасибо Благодарностей отдано 
    14
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    PavelZX, это первые грабли на пути начинающего плисовода. ))))))))))
    Гугл на эту фразу натрави - Can't find design entity
    ZXM-Phoenix 1024+PROF ROM+SMUC+VGA
    Profi 1024+CF+CPM+VGA
    ATARI 800XL+SIO2PC+SIO2SD
    RK86@Maximite

  11. #1780
    Member
    Регистрация
    29.11.2006
    Адрес
    Москва
    Сообщений
    99
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    22
    Поблагодарили
    13 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    По моему, в разбивке на модули - самая прелесть HDL и есть. Ну т.к. я сварщик не настоящий (всё больше по С++ специализируюсь), то меня это в восторг приводит делать вот так -

    Код:
    module rangeform(
    	input wire clk,	
    	input wire[8:0] enter,
    	input wire[8:0] exit,
    	input wire[8:0] inp,
    	output wire q,
    	output wire nq
        );
    
    reg r = 0;
    
    always @(posedge clk)
    begin	
    	if (inp>=enter-1 && inp<exit-1) begin
    		r<=1;
    	end else
    	begin
    		r<=0;
    	end
    end
    
    assign q = r;
    assign nq = ~r;
    
    
    endmodule
    а потом одним махом реализовать всю функциональность с развёртками видеосигнала

    Код:
    rangeform rangeHBLANK(
    	.clk(clk7),	
    	.enter(304),
    	.exit(400),
    	.inp(cnth[8:0]),
    	.q(hblank),
    	.nq()
    );
    
    rangeform rangeHSYNC(
    	.clk(clk7),	
    	.enter(328),
    	.exit(360),
    	.inp(cnth[8:0]),
    	.q(),
    	.nq(_hsync)
    );
    
    rangeform rangeVBLANK(
    	.clk(resh),
    	.enter(248),
    	.exit(256),
    	.inp(cntv[8:0]),
    	.q(vblank),
    	.nq()
    );
    
    rangeform rangeVSYNC(
    	.clk(resh),	
    	.enter(248),
    	.exit(252),
    	.inp(cntv[8:0]),
    	.q(_vsync),
    	.nq()
    );
    вместо того, чтобы лепить портянку из if-else
    ZX-Spectrum +2
    ZX-UNO 4.1
    Sizif512
    ZX-Evolution
    Harlequin 128

Страница 178 из 191 ПерваяПервая ... 174175176177178179180181182 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4534
    Последнее: 04.04.2024, 23:32
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •