Важная информация

User Tag List

Страница 1 из 191 12345 ... ПоследняяПоследняя
Показано с 1 по 10 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #1
    Guru Аватар для Sayman
    Регистрация
    16.02.2006
    Адрес
    Новосибирск
    Сообщений
    3,277
    Спасибо Благодарностей отдано 
    17
    Спасибо Благодарностей получено 
    91
    Поблагодарили
    54 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию ПЛИС и всё что с ними связано

    товарисчи, долой HDL-безграмотность! Землю - крестьянам, пиво - студентам, валерьянка - кошкам и бабушкам!
    Ниже приведены ссылки на информацию, которая поможет разобраться с таким хитропремудрым делом, как ПЛИС.
    И вы увидите, что всё далеко не так страшно

    Туториалы от alost'a (основы VHDL на примере создания клона ZX-Spectrum 128 + AY) -
    http://www.zx.pk.ru/showpost.php?p=179392&postcount=39

    Небольшой тутор в нескольких частях от ewgeny7 по программированию альтер способом схемного ввода:
    http://www.zx.pk.ru/showpost.php?p=196208&postcount=205

    Ссылки на литературу по работе с ПЛИС -
    http://www.zx.pk.ru/showpost.php?p=179122&postcount=34

    Страничка Альтеровского сайта с описанием и схемой программатора для ПЛИС - ByteBlasterMV -
    http://www.altera.ru/cgi-bin/go?35

    Дока и схема программатора ByteBlaster 2 для некоторых специфических мероприятий:
    http://www.zx.pk.ru/showpost.php?p=194253&postcount=191

    Дока по подключению и прошиванию конфПЗУ к FPGA. Рекомендую, работать по такой схеме очень удобно.
    http://www.zx.pk.ru/showpost.php?p=179221&postcount=38

    Туториал по работе с чипами Xilinx на "Радиокоте".

    Блогохостинг DI HALTA c публикациями о ПЛИС.

    Мануал по проектированию ZX-Spectrum на ПЛИС (девборда U9_Reverse).

    Текст оригинального сообщения был немного отредактирован модератором. Исключительно по просьбе общественности, т.к. он является первым в этой теме, то ему и рулить ссылками.
    Надеюсь автор сообщения на меня не сильно обидится

    C уважением, модератор Ewgeny7
    Последний раз редактировалось Ewgeny7; 10.03.2011 в 14:05.
    0A заповедей:
    I. Не удаляй каталог свой.
    II. Не удаляй до времени ни одного файла.
    III. Не кради файлы.
    IV. Не желай программы ближнего своего.
    V. Почитай BDOS и BIOS как родителей своих ...
    ---
    Sprinter resurrect:
    Telegram
    Discord
    Repo
    Forum

  2. Эти 5 пользователя(ей) поблагодарили Sayman за это полезное сообщение:

    CLR (24.10.2020), Dotoro (18.09.2019), Outcast (16.11.2020), SAMuel (12.09.2020), Tundra333 (24.11.2023)

  3. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  4. #2
    Master Аватар для ILoveSpeccy
    Регистрация
    20.03.2007
    Адрес
    Germany
    Сообщений
    867
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Sayman Посмотреть сообщение
    я дома воткнул вчера некий Active HDL 6.2..но чёто не совсем понял как и что...
    ActiveHDL - отличный симулятор. Я раньше пользовался ModelSIM, но как-то один человек поделился ActiveHDLем.
    Теперь езжу на нём. Например мой код AY8910 написан и отлажен на 99% именно в нём.

    Для синтеза под альтеру я использую родной альтеровский софт - Quartus.
    Качай бесплатную версию на www.altera.com.

    Нормальныx сайтов для начинающих я незнаю. Но есть пара книг в pdf/djvu.
    SpeccyLand - тут рождается клон!

    Новый проект: Аркадный автомат своими руками

  5. #3
    Guru Аватар для Sayman
    Регистрация
    16.02.2006
    Адрес
    Новосибирск
    Сообщений
    3,277
    Спасибо Благодарностей отдано 
    17
    Спасибо Благодарностей получено 
    91
    Поблагодарили
    54 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    нашол какую то Xilinx ISE...по всяким ссылкам пошукал и по виду пахожа как буд-то её надо визуально строить а не методом кода...странна...или я ошибаюсь?!
    вообще, как это всё происходит? я так понимаю язык vhdl похож на СИ...и все элементы кодяца именно языком, а визуально просто элементы раскидывать...так ?!?
    0A заповедей:
    I. Не удаляй каталог свой.
    II. Не удаляй до времени ни одного файла.
    III. Не кради файлы.
    IV. Не желай программы ближнего своего.
    V. Почитай BDOS и BIOS как родителей своих ...
    ---
    Sprinter resurrect:
    Telegram
    Discord
    Repo
    Forum

  6. #4
    Guru Аватар для Дмитрий
    Регистрация
    01.01.2009
    Адрес
    Донецк, Украина
    Сообщений
    3,260
    Спасибо Благодарностей отдано 
    35
    Спасибо Благодарностей получено 
    9
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Sayman, можно самому писать на adhl (altera), vdhl (для всех стандартен), либо нарисовать в редакторе, подобном тому что ты скачал, схемку с помощью 74xx логики, и он синтезирует тебе прошивочку для плиски.

  7. #5
    Guru Аватар для Sayman
    Регистрация
    16.02.2006
    Адрес
    Новосибирск
    Сообщений
    3,277
    Спасибо Благодарностей отдано 
    17
    Спасибо Благодарностей получено 
    91
    Поблагодарили
    54 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    т.е. методом рисования только на 74хх и никаких других, да? и что это за 74хх? альтера? если на xilinxе ппытаца чтото рисовать то не выйдет ничего?
    0A заповедей:
    I. Не удаляй каталог свой.
    II. Не удаляй до времени ни одного файла.
    III. Не кради файлы.
    IV. Не желай программы ближнего своего.
    V. Почитай BDOS и BIOS как родителей своих ...
    ---
    Sprinter resurrect:
    Telegram
    Discord
    Repo
    Forum

  8. #6
    Member
    Регистрация
    07.10.2008
    Адрес
    Воронеж
    Сообщений
    41
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Добрый день!Начну с хорошего!АЛЬТЕРА-это очень просто!VHDL-это тоже очень просто!Особенно для того,кто знаком с любым алгоритмическим языком(начиная с Бейсика и заканчивая СИ).Разница лишь в том,что мы не программируем,а ОПИСЫВАЕМ процессы,которые должны происходить в PLISS или FPGA!Лично мне потребовалось 2 недели для освоения(более подходит РАЗБОРКА) при том что последний раз программировал 15 лет назад!А плохое-для меня оказалось сложнее разобраться с AHDL(Altera HDL) ввиду того что он более напоминает СИ для AVR или PIC с его палочками ,черточками,воскл.знаками.М ало логики,и запоминать много надо.В VHDL все описывается простым человеческим ,очень логичным языком!Но!Это кому как,вечный спор!Главное представлять логику работы устройства,которое надо впихнуть в Альтеру!У меня много книг в Дежавю,могу на мыло скинуть(сообщи мыло),по ним изучал.Без них ни как,но самый простой и быстрый способ-это готовые маленькие проэктики,разбирая которые,сразу въезжаешь в принцип!!!!Заглядывая,конеч� �о,в книгу!!!Какие вопросы,задавай, помню как сам парился!

    Добавлено через 7 минут
    В Quartus хороший графический редактор,большая библиотека элементов(исходных примитивов),и не только 74 серии(кстати они пишут,что ее при разработке проекта можно,но не желательно использовать как примитивы)а если чего нет,то можно и свои элементы рисовать.Но лучше не в графике,а описанием,лень схему рисовать.А quartus cам тебе схему выдаст,если конечно не WEB версия!
    Последний раз редактировалось alost; 21.01.2009 в 14:33. Причина: Добавлено сообщение

  9. #7
    Guru Аватар для Sayman
    Регистрация
    16.02.2006
    Адрес
    Новосибирск
    Сообщений
    3,277
    Спасибо Благодарностей отдано 
    17
    Спасибо Благодарностей получено 
    91
    Поблагодарили
    54 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    всё таки не совсем понял на счёт визуального ваяния прошивки...ограничения есть или нет?
    0A заповедей:
    I. Не удаляй каталог свой.
    II. Не удаляй до времени ни одного файла.
    III. Не кради файлы.
    IV. Не желай программы ближнего своего.
    V. Почитай BDOS и BIOS как родителей своих ...
    ---
    Sprinter resurrect:
    Telegram
    Discord
    Repo
    Forum

  10. #8
    Member
    Регистрация
    07.10.2008
    Адрес
    Воронеж
    Сообщений
    41
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Sayman,я на форуме новичок,не знаю как в личку писать,позтому в тему...Если в схемо технике не силен,то и не надо загоняться с графикой.проще описанием!Про СИ это круто сказано,все гораздо проще!!!!Надо представить входные и выходные сигналы.и что с ними происходит в схеме!Вообще весь код в VHDL начинается так:
    entity zx128e is
    port(
    после скобочки(см. в прикрепл. файле) описываешь входные и выходные(реальные ноги у ПЛИССИНЫ),если вход то это in std_logic,если выход то это out std_logic,а если двунаправленная шина(или одиночный сигнал) то inout std_logic_vector(7 downto 0).
    Одиночный сигнал-это std_logic,а набор(шина),ну типа шины данных-это std_logic_vector(7 downto 0).ТО что в скобках -это размер(количество однотипных сигналов в шине! в качестве примера во вложенном файле начало моего клона
    Вложения Вложения

  11. #9
    Member
    Регистрация
    07.10.2008
    Адрес
    Воронеж
    Сообщений
    41
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Нет!Но придется,если чего нет в примитивах,самому попариться

  12. #10
    Guru Аватар для Sayman
    Регистрация
    16.02.2006
    Адрес
    Новосибирск
    Сообщений
    3,277
    Спасибо Благодарностей отдано 
    17
    Спасибо Благодарностей получено 
    91
    Поблагодарили
    54 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    ну даж не знаю...визуально кажется проще..я не думаю что то что в примере это так просто...я уверен что всё намного сложнее...
    щас глянем на opencores.org я там видел примерчик..он релаьно на СИ пахож был в vhdl...
    0A заповедей:
    I. Не удаляй каталог свой.
    II. Не удаляй до времени ни одного файла.
    III. Не кради файлы.
    IV. Не желай программы ближнего своего.
    V. Почитай BDOS и BIOS как родителей своих ...
    ---
    Sprinter resurrect:
    Telegram
    Discord
    Repo
    Forum

Страница 1 из 191 12345 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4524
    Последнее: 25.02.2024, 16:02
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •