Важная информация

User Tag List

Страница 133 из 191 ПерваяПервая ... 129130131132133134135136137 ... ПоследняяПоследняя
Показано с 1,321 по 1,330 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #1321
    Member
    Регистрация
    24.03.2008
    Адрес
    г. Рыбинск
    Сообщений
    123
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    4
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ах, у вас перекидная кнопка, вот этот момент я упустил.

    Цитата Сообщение от HardWareMan Посмотреть сообщение
    ИЧСХ, сам же предлагаешь комбинаторику мешать с выходом. Да и не даст надежности именно твой пример.
    А вот не согласен. Выход комбинаторики не обязан быть везде снабжен буфером на выходе. Если только он у вас не является окончательным выходом, не идет наружу.
    Входы этой комбинаторики получат информацию синхронно на оба входа, выход этой комбинаторики будет задержан во времени на какой-то t, который однозначно меньше периода клока. Если вдруг не так, то timequest это покажет.
    Так что, к следующему такту, в момент считывания результата, данные там уже будут стабильными.
    О дальнейшем использовании этого сигнала, я подразумеваю, что он будет использоваться внутри блока always по тому же системному клоку, а не как участник списка чувствительности, проблем не будет. Потому что дальнейшая логика, которую я обычно строю, возьмет этот сигнал, и сигналы от других источников, и по клоку, зайнесет в регистр. Может получиться некий конвеер.
    Данное решение я не сам придумал, а нашел, думаю на fpga4fun.com

    Цитата Сообщение от HardWareMan Посмотреть сообщение
    if (gate_buff[2:0] == 3'h0) ClkReg <= 1'b1; else if (gate_buff[2:0] == 3'h7) ClkReg <= 1'b0;
    Я об этом и говорю. Но неизвестно, что дальше будет с этим выходом. Если выход будет использоваться дальше, для входа на последующие стадии логики, которая свой результат так же задвинет в регистр, то регистр ClrReg сможет оказаться выпиленным синтезатором.

    Со счетчиками вот есть варианты.
    http://www.fpga4fun.com/Debouncer.html
    Тут, похоже, как раз мое предложение с двумя d-триггерами, плюс счетчик.
    https://eewiki.net/pages/viewpage.action?pageId=4980758

    Суть вопроса на самом деле в этом:
    Цитата Сообщение от LeoN65816 Посмотреть сообщение
    А может все же кто-нибудь подскажет, как в схематике назначать аттрибуты...
    Потому что синтезатор одинаково выкидывает ненужное из синтеза, как из написанного на Verilog, так и нарисованного схематически. Так что я, пожалуй, пректащу тут умничать.
    ps. Но я к чему встрял. Если проектировать в соответствии с требованиями синтеза, то не будет варнингов и практически не будет потребности в том, чтобы задавать параметры синтеза.
    Последний раз редактировалось UA3MQJ; 10.04.2017 в 17:29.

  2. #1321
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #1322
    Master
    Регистрация
    20.06.2014
    Адрес
    г. Орск, Оренбургская обл.
    Сообщений
    778
    Спасибо Благодарностей отдано 
    25
    Спасибо Благодарностей получено 
    62
    Поблагодарили
    48 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    IMHO, искусственно созданные (Альтерой) "грабли" и "костыли"...

    Цитата Сообщение от UA3MQJ Посмотреть сообщение
    Ах, у вас перекидная кнопка, вот этот момент я упустил.
    Дык, какая разница, перекидная кнопка или две разных?... Важно, что RS-триггер. В MAX7000S есть RS-, а в циклоне только R-... Банальнейшая вещь... Примитив! Ан нет, все через пи-пи-пи....

    Цитата Сообщение от UA3MQJ Посмотреть сообщение
    Со счетчиками вот есть варианты.
    http://www.fpga4fun.com/Debouncer.html
    Тут, похоже, как раз мое предложение с двумя d-триггерами, плюс счетчик.
    https://eewiki.net/pages/viewpage.action?pageId=4980758
    Прежде чем сюда постить, первым делом у дядюшки Яндекса спросил, и эти ссылки были у него первыми в "ответах"... И они все же не решают проблему, кнопки то разные (по "качеству" дребезга) бывают...
    Турбо АГАТ-9/16 (ЦП 65C802, 5 Махов, dual-port SRAM).

  4. #1323
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,404
    Спасибо Благодарностей отдано 
    319
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от LeoN65816 Посмотреть сообщение
    Важно, что RS-триггер. В MAX7000S есть RS-, а в циклоне только R-... Банальнейшая вещь... Примитив! Ан нет, все через пи-пи-пи....
    Это банальная дань моде. MAX7000 и MAX3000 где использовали? Правильно, вперемежку с обычной логикой. Их степень интеграции относительно низка вот и можно запихать обычный RS. А Cyclone, тем более еще и новомодный IV, это уже синхронный дизайн, тут только обычные D триггеры и куча синхронных контролов в LABе. Так что да, дебонс (т.е. подавитель дребезга) делать либо внешним, либо синхронным, как это уже тут приводилось (в том числе и по ссылкам).

  5. #1324
    Activist Аватар для omercury
    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от UA3MQJ Посмотреть сообщение
    Потратится 3 ячейки на кнопку.
    "Переплатили"...
    Стандартный способ - 2 триггера.

    Цитата Сообщение от LeoN65816 Посмотреть сообщение
    я всеми конечностями за это.
    Неужели?
    А где синхронизация всех входов с глобал клоком?

    Любимая метастабильность.
    На хоботе есть подробно.
    Если в двух словах, то хотя бы здесь.

  6. #1325
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,404
    Спасибо Благодарностей отдано 
    319
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    omercury, это называется "перевод в рабочий тактовый домен". Для исключения метастабильности все входящие сигналы модуля должны быть синхронизированы с тактовой частотой этого модуля. Впрочем, на хабре ответ более развернутый.

  7. #1326
    Veteran Аватар для piroxilin
    Регистрация
    24.05.2009
    Адрес
    Москва
    Сообщений
    1,098
    Записей в дневнике
    2
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Есть такой вопрос по конф-пзу :
    Имеется flex8000 (epf8452) и epc1064pc8 к нему. ПЗУ мелкая (64кбит) и 5-вольтовая, уже редкая (300-500руб).
    Про пзу закрались сомнения.
    Собственно вопрос - его возможно клонировать (пзу), т.е. считать проггером и записать в новую?
    Сложность такая - у меня их обещает читать только стерх007, но эту конкретную он отказывается - срабатывает "защита по току".
    С уважением, Дмитрий.

  8. #1327
    Guru Аватар для Totem
    Регистрация
    13.12.2007
    Адрес
    Архангельск
    Сообщений
    2,189
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    11 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от piroxilin Посмотреть сообщение
    Есть такой вопрос по конф-пзу :
    Имеется flex8000 (epf8452) и epc1064pc8 к нему. ПЗУ мелкая (64кбит) и 5-вольтовая, уже редкая (300-500руб).
    Про пзу закрались сомнения.
    Собственно вопрос - его возможно клонировать (пзу), т.е. считать проггером и записать в новую?
    Сложность такая - у меня их обещает читать только стерх007, но эту конкретную он отказывается - срабатывает "защита по току".
    Пойдет любая параллельная ПЗУ.
    Последний раз редактировалось Totem; 27.04.2017 в 15:19.
    Ты слыхал как грузится Flyshark ?! нет, совсем не тот, что на дискете...а Flyshark, тот самый блин Flyshark...тот ,что был когда то на кассете...
    zx spectrum 48 issuse 6a, Ленинград-1, zx spectum 128 +2 grey,Пентагон-128, ZXM-Phoenix 5.02 ( assembly)

  9. #1328
    Moderator Аватар для Mick
    Регистрация
    14.06.2005
    Адрес
    г. Калуга
    Сообщений
    9,917
    Спасибо Благодарностей отдано 
    178
    Спасибо Благодарностей получено 
    695
    Поблагодарили
    368 сообщений
    Mentioned
    19 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от piroxilin Посмотреть сообщение
    epc1064pc8
    Если в DIP, то их вроде читает фитоновский Chipprog+ По крайней мере в списки они есть (EPC1064 и EPC1064V)
    Сайт поддержки моих изделий - http://micklab.ru/
    Группа ВКонтакте - https://vk.com/micklab

  10. #1329
    Member
    Регистрация
    28.03.2009
    Адрес
    г. Березовский КО
    Сообщений
    98
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    5 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Добрый день!
    Прошу совета, есть EPM7128SLC84 и ей подобные, какую серию следует с ними использовать 74AC или 74ACT?

  11. #1330
    Guru
    Регистрация
    16.12.2008
    Адрес
    Kharkov, Ukraina
    Сообщений
    2,221
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    21
    Поблагодарили
    18 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    LS тоже можно. Если у вас нет планов оседлать SRAM 10ns)
    Электроника КР-02, MSX YIS-503IIR, Орион-128, Ленинград-2, Pentagon-128k, MSX2 YIS-503IIIR, MSX-EXT, ...

Страница 133 из 191 ПерваяПервая ... 129130131132133134135136137 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4534
    Последнее: 04.04.2024, 23:32
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •