Важная информация

User Tag List

Страница 165 из 191 ПерваяПервая ... 161162163164165166167168169 ... ПоследняяПоследняя
Показано с 1,641 по 1,650 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #1641
    Activist Аватар для omercury
    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от dosikus Посмотреть сообщение
    I_RED => vga_out(7 downto 5)& vga_out(7 downto 5)& vga_out(7 downto 6),
    I_GREEN => vga_out(4 downto 2) & vga_out(4 downto 2)& vga_out(4 downto 3),
    I_BLUE => vga_out(1 downto 0) & vga_out(1 downto 0)& vga_out(1 downto 0)& vga_out(1 downto 0),
    assign I_RED = {vga_out[7:5], vga_out[7:5], vga_out[7:6]};
    assign I_GREEN = {vga_out[4:2], vga_out[4:2], vga_out[4:3]};
    assign I_BLUE = {vga_out[1:0], vga_out[1:0], vga_out[1:0], vga_out[1:0]};


    Цитата Сообщение от dosikus Посмотреть сообщение
    ругается что-то насчет неупакованного массива
    ...
    reg vaddr[7:0];
    Гы..!
    wire
    Последний раз редактировалось omercury; 05.10.2019 в 15:36.

  2. #1642
    Veteran Аватар для dosikus
    Регистрация
    29.03.2005
    Адрес
    Ярославль
    Сообщений
    1,102
    Спасибо Благодарностей отдано 
    14
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    omercury, туплю, помоги :

    module vga_gen
    (
    input wire clk_50MHz,
    output wire [7:0] TMDS

    );


    wire h_sync;
    wire v_sync;
    wire picselclock;
    wire hdmi_clock;
    wire blank;
    wire vaddr[7:0];


    vga_sync VGA_SYN
    ( .clk_in (clk_50MHz),
    .picselclock(picselclock) ,
    .hdmi_clock(hdmi_clock),
    .vga_hsync (h_sync),
    .vga_vsync (v_sync),
    .disp_enable (blank),
    .v_addr (vaddr)
    );




    hdmi #(
    .FREQ(5200000),
    .FS(48000),
    .CTS(25200),
    .N(6144))
    HDMI1 (
    .I_CLK_VGA (picselclock),
    .I_CLK_TMDS (hdmi_clock),
    .I_HSYNC (h_sync),
    .I_VSYNC (v_sync),
    .I_BLANK (~blank),
    //.I_RED (8'b11111111),
    .I_RED (),
    .I_GREEN (),
    .I_BLUE (),
    .I_AUDIO_PCM_L (1'b0),
    .I_AUDIO_PCM_R (1'b0),
    .O_TMDS (TMDS)
    );

    assign I_RED = { vaddr[7:5], vaddr[7:5], vaddr[7:6]};
    assign I_GREEN = { vaddr[4:2], vaddr[4:2], vaddr[4:3]};
    assign I_BLUE = { vaddr[1:0], vaddr[1:0], vaddr[1:0], vaddr[1:0]};

    endmodule



    Warning (10236): Verilog HDL Implicit Net warning at vga_gen.v(56): created implicit net for "I_RED"
    Warning (10236): Verilog HDL Implicit Net warning at vga_gen.v(57): created implicit net for "I_GREEN"
    Warning (10236): Verilog HDL Implicit Net warning at vga_gen.v(58): created implicit net for "I_BLUE"
    Error (10044): Verilog HDL error at vga_gen.v(30): expression cannot reference entire array "vaddr"
    Error (10048): Verilog HDL error at vga_gen.v(30): values cannot be assigned directly to all or part of array "vaddr" - assignments must be made to individual elements only
    Error (10133): Verilog HDL Expression error at vga_gen.v(56): illegal part select of unpacked array "vaddr"
    Error (10133): Verilog HDL Expression error at vga_gen.v(57): illegal part select of unpacked array "vaddr"
    Error (10133): Verilog HDL Expression error at vga_gen.v(58): illegal part select of unpacked array "vaddr"
    Error: Quartus II 64-Bit Analysis & Synthesis was unsuccessful. 5 errors, 3 warnings
    Error: Peak virtual memory: 4622 megabytes
    Error: Processing ended: Sat Oct 05 15:42:10 2019
    Error: Elapsed time: 00:00:09
    Error: Total CPU time (on all processors): 00:00:09
    Error (293001): Quartus II Full Compilation was unsuccessful. 7 errors, 3 warnings
    - - - Добавлено - - -

    Мда , досадная ошибка из-за невнимательности ...

    Надо
    wire [7:0] vaddr;

    а не

    wire vaddr[7:0];
    ZXM-Phoenix 1024+PROF ROM+SMUC+VGA
    Profi 1024+CF+CPM+VGA
    ATARI 800XL+SIO2PC+SIO2SD
    RK86@Maximite

  3. #1643
    Activist Аватар для omercury
    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от dosikus Посмотреть сообщение
    туплю

    Скрытый текст

    module vga_gen
    (
    input wire clk_50MHz,
    output wire [7:0] TMDS

    );


    wire h_sync;
    wire v_sync;
    wire picselclock;
    wire hdmi_clock;
    wire blank;
    wire vaddr[7:0];


    vga_sync VGA_SYN
    ( .clk_in (clk_50MHz),
    .picselclock(picselclock) ,
    .hdmi_clock(hdmi_clock),
    .vga_hsync (h_sync),
    .vga_vsync (v_sync),
    .disp_enable (blank),
    .v_addr (vaddr)
    );




    hdmi #(
    .FREQ(5200000),
    .FS(48000),
    .CTS(25200),
    .N(6144))
    HDMI1 (
    .I_CLK_VGA (picselclock),
    .I_CLK_TMDS (hdmi_clock),
    .I_HSYNC (h_sync),
    .I_VSYNC (v_sync),
    .I_BLANK (~blank),
    //.I_RED (8'b11111111),
    .I_RED (I_RED ),
    .I_GREEN (I_GREEN),
    .I_BLUE (I_BLUE),
    .I_AUDIO_PCM_L (1'b0),
    .I_AUDIO_PCM_R (1'b0),
    .O_TMDS (TMDS)
    );

    wire [7:0] I_RED = { vaddr[7:5], vaddr[7:5], vaddr[7:6]};
    wire [7:0] I_GREEN = { vaddr[4:2], vaddr[4:2], vaddr[4:3]};
    wire [7:0] I_BLUE = { vaddr[1:0], vaddr[1:0], vaddr[1:0], vaddr[1:0]};

    endmodule
    [свернуть]


    Цвета не подключил.

    Что ж так тормозит-то?

  4. #1644
    Veteran Аватар для dosikus
    Регистрация
    29.03.2005
    Адрес
    Ярославль
    Сообщений
    1,102
    Спасибо Благодарностей отдано 
    14
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Угу и это то же.
    Вообщем счас так :


    Код:
    module vga_gen 
    (         
      input wire clk_50MHz,
      output	wire	[7:0]		TMDS 
    
    );
    
    
    wire h_sync;
    wire v_sync; 
    wire picselclock; 
    wire hdmi_clock;
    wire blank;
    wire [7:0] vaddr;
    
    
    vga_sync  VGA_SYN 
    ( .clk_in (clk_50MHz), 
      .picselclock(picselclock) , 
      .hdmi_clock(hdmi_clock),
      .vga_hsync (h_sync),
      .vga_vsync (v_sync),
      .disp_enable (blank),
      .v_addr (vaddr)
    	);
    
    
    	
    	
     hdmi #(
    .FREQ(5200000),
    .FS(48000),
    .CTS(25200),
    .N(6144))
    HDMI1 (
    .I_CLK_VGA (picselclock),
    .I_CLK_TMDS (hdmi_clock),
    .I_HSYNC (h_sync),
    .I_VSYNC (v_sync),
    .I_BLANK (~blank),
    .I_RED   ({ vaddr[7:5],  vaddr[7:5],  vaddr[7:6]}),
    .I_GREEN ({ vaddr[4:2],  vaddr[4:2],  vaddr[4:3]}),
    .I_BLUE ({ vaddr[1:0],  vaddr[1:0],  vaddr[1:0],  vaddr[1:0]}),
    .I_AUDIO_PCM_L (1'b0),
    .I_AUDIO_PCM_R	(1'b0),
     .O_TMDS	(TMDS)
    );
    
    
    
    endmodule
    Можно продолжать...
    ZXM-Phoenix 1024+PROF ROM+SMUC+VGA
    Profi 1024+CF+CPM+VGA
    ATARI 800XL+SIO2PC+SIO2SD
    RK86@Maximite

  5. #1645
    Activist Аватар для omercury
    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Шрифт ещё не подбирал?

  6. #1646
    Veteran Аватар для dosikus
    Регистрация
    29.03.2005
    Адрес
    Ярославль
    Сообщений
    1,102
    Спасибо Благодарностей отдано 
    14
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Даже не знаю.
    Какой посоветуешь?

    - - - Добавлено - - -

    Цитата Сообщение от omercury Посмотреть сообщение

    Что ж так тормозит-то?
    Ты про меня или про форум?

    Я честно говоря думал на работе тормоза, ан нет и дома. Так что с форумом в самом деле что -то не так...
    ZXM-Phoenix 1024+PROF ROM+SMUC+VGA
    Profi 1024+CF+CPM+VGA
    ATARI 800XL+SIO2PC+SIO2SD
    RK86@Maximite

  7. #1647
    Activist Аватар для omercury
    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Да любой, какой понравится, с красотой в 8х16 особо не развернёшься.
    16х16 можно, 50 символов в строке тебе хватит?
    Тогда можно и покрасивше подбирать.

    Цитата Сообщение от dosikus Посмотреть сообщение
    или про форум?
    Через модем его чтоль подключили?
    Последний раз редактировалось omercury; 05.10.2019 в 16:46.

  8. #1648
    Veteran Аватар для dosikus
    Регистрация
    29.03.2005
    Адрес
    Ярославль
    Сообщений
    1,102
    Спасибо Благодарностей отдано 
    14
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от omercury Посмотреть сообщение
    16х16 можно, 50 символов в строке тебе хватит?
    Тогда можно и покрасивше подбирать.
    Угу ...

    - - - Добавлено - - -

    Копипаст с нотепада++ прокатывает только до сохранения , дальше так же .
    Плюнул, камменты english only, forever ...

    - - - Добавлено - - -

    HardWareMan, Вот RTL :

    RTL кликабельно

    [свернуть]
    Последний раз редактировалось dosikus; 05.10.2019 в 19:24.
    ZXM-Phoenix 1024+PROF ROM+SMUC+VGA
    Profi 1024+CF+CPM+VGA
    ATARI 800XL+SIO2PC+SIO2SD
    RK86@Maximite

  9. #1649
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,404
    Спасибо Благодарностей отдано 
    319
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    dosikus, ты его не мне показывай. Ты его сам научись правильно считывать.

  10. #1650
    Veteran Аватар для dosikus
    Регистрация
    29.03.2005
    Адрес
    Ярославль
    Сообщений
    1,102
    Спасибо Благодарностей отдано 
    14
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    HardWareMan, в смысле читать /понимать?
    ZXM-Phoenix 1024+PROF ROM+SMUC+VGA
    Profi 1024+CF+CPM+VGA
    ATARI 800XL+SIO2PC+SIO2SD
    RK86@Maximite

Страница 165 из 191 ПерваяПервая ... 161162163164165166167168169 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4534
    Последнее: 04.04.2024, 23:32
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •