Важная информация

User Tag List

Страница 140 из 191 ПерваяПервая ... 136137138139140141142143144 ... ПоследняяПоследняя
Показано с 1,391 по 1,400 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #1391
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Rio444 Посмотреть сообщение
    Резисторы, как я понял, нужны не столько для прошивки, сколько для работы. Негоже, когда входы в неопределенном состоянии.
    Не совсем так. В настройка есть функция как использовать не подключённые выводы как tri-state.
    Цитата Сообщение от Rio444 Посмотреть сообщение
    У Вас версия 13.0.1 полная или web? Скачивал с торрентс.ру полную, но кряк там был только для 32-битной версии.
    Полную 64-битную я не нашёл, у меня Web.

  2. #1392
    Master Аватар для Rio444
    Регистрация
    23.11.2015
    Адрес
    г. Ростов-на-Дону
    Сообщений
    518
    Спасибо Благодарностей отдано 
    163
    Спасибо Благодарностей получено 
    149
    Поблагодарили
    102 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Да, N-е количество страниц назад тут искали способ, как сделать выход с ОК (он же "открытый коллектор", "открытый сток", Open Drain)
    Решение здесь https://www.intel.com/content/www/us...52007_878.html
    В квартусе 9.1 через Assignments/Settings/Libraries добавляете библиотеку "....\altera\91sp2\quartus\libraries\primitives\bu ffer"
    Потом в Verilog создаёте компонент "opndrn имя_компонента(вход, выход);
    Например:
    opndrn OpenDr(Input_Pin, Output_Pin);
    получаем следующее:

  3. #1393
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,403
    Спасибо Благодарностей отдано 
    313
    Спасибо Благодарностей получено 
    595
    Поблагодарили
    441 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию


    схемный ввод


    TRI открывается при лог.1, а тянуть надо к лог.0, поэтому получается инверсия, которая компенсируется инвертором NOT.
    [свернуть]

    verilog

    input In;
    inout Out;
    assign Out = (In) ? 1'bZ : 1'b0;
    [свернуть]

    Причем, если заменить массу на VCC (0 на 1), то можно получить OS (Open Source или Открытый Исток).

  4. #1394
    Master Аватар для Rio444
    Регистрация
    23.11.2015
    Адрес
    г. Ростов-на-Дону
    Сообщений
    518
    Спасибо Благодарностей отдано 
    163
    Спасибо Благодарностей получено 
    149
    Поблагодарили
    102 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    HardWareMan, так это баянище.
    Первое, что пришло мне в голову даже без поиска решений в сети.
    И в этой теме уже упоминалось.

  5. #1395
    Guru
    Регистрация
    14.05.2015
    Адрес
    г. Харьков, Украина
    Сообщений
    2,940
    Спасибо Благодарностей отдано 
    150
    Спасибо Благодарностей получено 
    302
    Поблагодарили
    171 сообщений
    Mentioned
    4 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Вопрос по xilinx , каким софтом редактируется и компилируется .abl исходники ?
    Тут - ТЫЦ упоминается - ABEL-HDL Editor ,в составе Xilinx ISE Design Suite я его не нашел или не там искал.
    Увы общий формат форума вышел из рамок моего любимого места , более тут не бываю.

  6. #1396
    Veteran Аватар для zebest
    Регистрация
    11.01.2008
    Адрес
    Ладошкино
    Сообщений
    1,668
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    320
    Спасибо Благодарностей получено 
    221
    Поблагодарили
    174 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    хы. какой антиквариат.
    "эти интернеты" говорят про етот анахрониzм что:
    Последняя версия ISE, которая поддерживает ABEL, кажется, 10.1
    ну или тут
    https://www.xilinx.com/support/answers/32354.html
    Profi v3.2 -=- Speccy2010,r2

  7. #1396
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #1397
    Guru
    Регистрация
    14.05.2015
    Адрес
    г. Харьков, Украина
    Сообщений
    2,940
    Спасибо Благодарностей отдано 
    150
    Спасибо Благодарностей получено 
    302
    Поблагодарили
    171 сообщений
    Mentioned
    4 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от zebest Посмотреть сообщение
    хы. какой антиквариат.
    Ну наверно да, из этого проекта , там все .abl
    http://www.mkl211015.altervista.org/ide/ide68k.html
    Надо скомпилить под чип с другой задержкой , пытаюсь разобраться.

    - - - Добавлено - - -

    Качаю 10.1 , еще бы ортодокса найти со знаниями об .abl
    Увы общий формат форума вышел из рамок моего любимого места , более тут не бываю.

  9. #1398
    Veteran
    Регистрация
    15.07.2009
    Адрес
    Череповец
    Сообщений
    1,747
    Спасибо Благодарностей отдано 
    148
    Спасибо Благодарностей получено 
    53
    Поблагодарили
    39 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)
    ZX-Evo Rev. C4 (Double-config) / VDAC2 / ZiFi / NeoGS / ZXM-Soundcard Extreme

  10. #1399
    Veteran Аватар для zebest
    Регистрация
    11.01.2008
    Адрес
    Ладошкино
    Сообщений
    1,668
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    320
    Спасибо Благодарностей получено 
    221
    Поблагодарили
    174 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от JV-Soft Посмотреть сообщение
    Качаю 10.1
    там вроде как можно сконвертить в более привычный verilog\vhdl
    Profi v3.2 -=- Speccy2010,r2

  11. #1400
    Guru
    Регистрация
    14.05.2015
    Адрес
    г. Харьков, Украина
    Сообщений
    2,940
    Спасибо Благодарностей отдано 
    150
    Спасибо Благодарностей получено 
    302
    Поблагодарили
    171 сообщений
    Mentioned
    4 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от zebest Посмотреть сообщение
    там вроде как можно сконвертить в более привычный verilog\vhdl
    Задача стоит такая , что найти чипы 7ns не очень просто ,продавцы пишут что прдают 7ns ,а по факту присылают 10-15ns , и надо было перекомпилить прошивку abel под эти задержки.

    В общем покурил немного инфу , и вам спасибо ISE 10.1 именно то,только пришлось на бук с XP ставить , все получилось, сделал проект и перекомпилил.




    Еще вопрос ,а из исходника abel можно как то визуальную схему получить ?
    Увы общий формат форума вышел из рамок моего любимого места , более тут не бываю.

Страница 140 из 191 ПерваяПервая ... 136137138139140141142143144 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4534
    Последнее: 04.04.2024, 23:32
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •