Важная информация

User Tag List

Страница 26 из 191 ПерваяПервая ... 222324252627282930 ... ПоследняяПоследняя
Показано с 251 по 260 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #251
    Junior
    Регистрация
    02.12.2008
    Адрес
    Екатеринбург
    Сообщений
    13
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от caro Посмотреть сообщение
    Не видя исходника, на первоночально заданный тобой вопрос ответить не возможно.
    Вот исходные тексты. Основной файл system.vhd
    Вложения Вложения
    • Тип файла: zip system.zip (1.3 Кб, Просмотров: 219)

  2. #252
    Activist
    Регистрация
    17.03.2009
    Адрес
    Moscow
    Сообщений
    464
    Спасибо Благодарностей отдано 
    20
    Спасибо Благодарностей получено 
    48
    Поблагодарили
    32 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    kanzler,
    Адрес по переднему фронту ALE защелкиваете? Так его еще нету в этот момент..
    Profi v3.2 512K+color; Profi 6.2B; МС-0511

  3. #253
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от UncleDim Посмотреть сообщение
    kanzler,
    Адрес по переднему фронту ALE защелкиваете? Так его еще нету в этот момент..
    Да, похоже надо изменить на:
    Код:
    	if (ale' event and ale = '0') then

  4. #254
    Activist
    Регистрация
    17.03.2009
    Адрес
    Moscow
    Сообщений
    464
    Спасибо Благодарностей отдано 
    20
    Спасибо Благодарностей получено 
    48
    Поблагодарили
    32 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Кроме того, в упор не вижу чем управляется младший байт шины (в смысле на вход/на выход. мож туплю:)
    Profi v3.2 512K+color; Profi 6.2B; МС-0511

  5. #255
    Junior
    Регистрация
    02.12.2008
    Адрес
    Екатеринбург
    Сообщений
    13
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от caro Посмотреть сообщение
    Да, похоже надо изменить на:
    Код:
    	if (ale' event and ale = '0') then
    Спасибо, попробую изменить. Хотя есть другой вариант решения задачи :-)

  6. #256
    Activist
    Регистрация
    17.03.2009
    Адрес
    Moscow
    Сообщений
    464
    Спасибо Благодарностей отдано 
    20
    Спасибо Благодарностей получено 
    48
    Поблагодарили
    32 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от kanzler Посмотреть сообщение
    Хотя есть другой вариант
    Угу, по хорошему надо привязываться к уровню ALE, а не к эвенту.
    Profi v3.2 512K+color; Profi 6.2B; МС-0511

  7. #256
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #257
    Junior
    Регистрация
    02.12.2008
    Адрес
    Екатеринбург
    Сообщений
    13
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Столкнулся с проблемой деления двух чисел на языке vhdl, подскажите как выполнить деление двух чисел типа std_logic_vector?

  9. #258
    Activist
    Регистрация
    17.03.2009
    Адрес
    Moscow
    Сообщений
    464
    Спасибо Благодарностей отдано 
    20
    Спасибо Благодарностей получено 
    48
    Поблагодарили
    32 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от kanzler Посмотреть сообщение
    как выполнить деление двух чисел типа std_logic_vector?
    Добавить библиотечную мегафункцию типа lpm_divide не катит?
    Profi v3.2 512K+color; Profi 6.2B; МС-0511

  10. #259
    Junior
    Регистрация
    02.12.2008
    Адрес
    Екатеринбург
    Сообщений
    13
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от UncleDim Посмотреть сообщение
    Добавить библиотечную мегафункцию типа lpm_divide не катит?
    надо попробовать

  11. #260
    Guru Аватар для zx-kit
    Регистрация
    11.04.2009
    Адрес
    г. Санкт-Петербург
    Сообщений
    3,603
    Записей в дневнике
    15
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    65
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию НЕСКОЛЬКО ВОПРОСОВ ПО VHDL

    1. Что скрывается за словом process и для чего указывать все используемые сигналы? Сколько это отъедает макроячеек?

    2. Можно ли написать конструкцию типа if then else без process?

    Код:
    process (SET_FK_OUT, VIDEO_H, VIDEO_V, VGA_V)
    begin
    
      if (VIDEO_H(0) = '1') then -- если цикл записи в ОЗУ:
          A(7 downto 0)  <= VIDEO_H(9 downto 2); -- мл. адреса - счетчик точек VIDEO
          if (SET_FK_OUT = '1') then        -- если выходная частота кадров 50/48 Гц
              A(16 downto 8) <= "00000000" & VIDEO_V(0); -- текущий адрес строки
          else                     -- иначе, 60 Гц:
              A(16 downto 8) <= VIDEO_V(8 downto 0);
          end if;    
       else                       -- иначе, цикл чтения из ОЗУ:    
    ...
    3. Причины ошибки типа "требуется 7 выходов, а у устройства только 6"? Подозреваю, что это ограничивает число процессов, на которые можно подать один сигнал. Как из этого выходить?

    4. Как уменьшать объем прошивки в макроячейках для серии MAX3000A?

    5. Какая разница: сначала получить сигнал из нескольких с помощью логических конструкций, а потом записать по фронту в процессе или тоже самое, но в процессе ожидается фронт, а потом логические конструкции для получения сигнала?
    "L-256"

Страница 26 из 191 ПерваяПервая ... 222324252627282930 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4534
    Последнее: 04.04.2024, 23:32
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •