Важная информация

User Tag List

Страница 27 из 191 ПерваяПервая ... 232425262728293031 ... ПоследняяПоследняя
Показано с 261 по 270 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #261
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от zst Посмотреть сообщение
    1. Что скрывается за словом process и для чего указывать все используемые сигналы? Сколько это отъедает макроячеек?
    Процесс - функционально самостоятельный кусок схемы. Все реакции внутри процесса происходят только при событиях на описанных в заголовке сигналах. Нет события ни на одном сигнале - процесс "стоит". Если ты опишешь не все сигналы "чувствительности" - анализатор сделает это сам и выдаст об этом предупреждение.

    Цитата Сообщение от zst Посмотреть сообщение
    2. Можно ли написать конструкцию типа if then else без process?
    Нельзя. Допутимо так - xxx<=yy when (aaa) else zz;

    Цитата Сообщение от zst Посмотреть сообщение
    4. Как уменьшать объем прошивки в макроячейках для серии MAX3000A?
    Можно немного уменьшить количество ячеек, переключая приоритеты компилятора. Их три варианта - скорость работы, количество ячеек, сбалансированно. По дефолту стоит скорость. Это выбирается где-то в properties проекта.

    Цитата Сообщение от zst Посмотреть сообщение
    5. Какая разница: сначала получить сигнал из нескольких с помощью логических конструкций, а потом записать по фронту в процессе или тоже самое, но в процессе ожидается фронт, а потом логические конструкции для получения сигнала?
    Ну ты накрутил! Разница может быть, оценить это можно только мозгом или симулятором. Это моя излюбленная ошибка (в сложных процессах). Если по логике разницы никакой, то так оно и будет.
    Цитата Сообщение от zst Посмотреть сообщение
    3. Причины ошибки типа "требуется 7 выходов, а у устройства только 6"? Подозреваю, что это ограничивает число процессов, на которые можно подать один сигнал. Как из этого выходить?
    Х.з. Чтото похожее было разочек, но вроде было связано с рулением ног inout, когда я некорректно указал когда нужно переключаться в Z-состояние.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  2. #262
    Member
    Регистрация
    15.02.2005
    Адрес
    г. Днепропетровск, Украина
    Сообщений
    166
    Спасибо Благодарностей отдано 
    2
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Решил тут "заПЛИСовать" схему расширения Ленинграда 2 - http://zx.pk.ru/showpost.php?p=198332&postcount=58
    Все отлично влезло в плиску от Xilinx, только возникли проблемы с последним мультиплексором (IC8) - на реальной схеме выходы объединены и все работает нормально, но в плис при попытке объединить выходы получаем ошибку что несколько соединений от одного источника и прошивка не компилится. Если же развести выходы на отдельные пины, а потом объединить уже вне плис, то получаю подсаженные уровни и ничего не работает.

    Может кто знает как разрулить эту ситуацию ?
    Ленинград-2 + 256кБ + BDI (FDD Teac 5.25 + 3.5) + YM2149 + PS/2 Keyb(Caro)
    Ленинград-2 + 1MБ (Kay1024 Standart) + BDI (FDD Teac 3.5) + AY8912 + PS/2 Keyb(Caro)
    Pentagon 128кБ (в процессе восстановления)

  3. #263
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Spir0
    Может кто знает как разрулить эту ситуацию ?
    Обьединять надо не физически, а логически.
    Выложи исходник, думаю тебе помогут.

  4. #264
    Member
    Регистрация
    15.02.2005
    Адрес
    г. Днепропетровск, Украина
    Сообщений
    166
    Спасибо Благодарностей отдано 
    2
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Делал в схематике, так как пока еще не совсем вник в суть VHDL

    aymemextender.rar

    Глядя на схему КП12 возникло подозрение что мультиплексор в ISE с входом ENABLE, всего лишь устанавливает 0 на выходе, в то время как в КП12 - этот вход переводит соответствующий выход в TRI-State.
    Ленинград-2 + 256кБ + BDI (FDD Teac 5.25 + 3.5) + YM2149 + PS/2 Keyb(Caro)
    Ленинград-2 + 1MБ (Kay1024 Standart) + BDI (FDD Teac 3.5) + AY8912 + PS/2 Keyb(Caro)
    Pentagon 128кБ (в процессе восстановления)

  5. #265
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Spir0 Посмотреть сообщение
    Делал в схематике...
    Я так и понял.
    Тогда сделай так:
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	mx_a8.jpg 
Просмотров:	384 
Размер:	25.8 Кб 
ID:	12729  

  6. #266
    Master
    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    725
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    75
    Поблагодарили
    34 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Читал туториалы, смотрел всякое, попробовал поделать схемки в MAX+ Plus и Quartus. Возникли такие вопросы:

    1) Нужно подавать тактовый сигнал на саму ПЛИС (если в схеме нет тактового сигнала)?

    2) Компилятор пишет что-то типа сигнал нужно сделать Global. Что это значит?

    3) В схеме нарисовал ИР22. Ее выводы выводятся наружу ПЛИСины, и они должны быть 3-стабильными. Как это задается, и как указать, что третье состояние на наружных выводах наступает по такому-то (внутр.) сигналу?

  7. #267
    Member
    Регистрация
    15.02.2005
    Адрес
    г. Днепропетровск, Украина
    Сообщений
    166
    Спасибо Благодарностей отдано 
    2
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от IanPo Посмотреть сообщение
    1) Нужно подавать тактовый сигнал на саму ПЛИС (если в схеме нет тактового сигнала)?
    Не нужно, глобальные сигналы обычно используются, если надо получить гарантированный сброс всех ячеек, которые используются или засинхронизировать все внутренние элементы (счетчики или регистры). Если это несущественно, то например в Xilinx их можно использовать как обычные IN/OUT пины.

    2) Компилятор пишет что-то типа сигнал нужно сделать Global. Что это значит?
    Тут не помогу, надо код видеть

    3) В схеме нарисовал ИР22. Ее выводы выводятся наружу ПЛИСины, и они должны быть 3-стабильными. Как это задается, и как указать, что третье состояние на наружных выводах наступает по такому-то (внутр.) сигналу?
    Обычно это описывается в VHDL, как <в зависимости от сигнала такого то (event) на выходах установить "ZZZZ">, если в схематике, то там есть либо регистр с Z-состоянием, либо буфер с Z-состоянием, на вход управления которого и подается сигнал управления.
    Последний раз редактировалось Spir0; 31.07.2009 в 13:21.
    Ленинград-2 + 256кБ + BDI (FDD Teac 5.25 + 3.5) + YM2149 + PS/2 Keyb(Caro)
    Ленинград-2 + 1MБ (Kay1024 Standart) + BDI (FDD Teac 3.5) + AY8912 + PS/2 Keyb(Caro)
    Pentagon 128кБ (в процессе восстановления)

  8. #268
    Master
    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    725
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    75
    Поблагодарили
    34 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    1) Что такое глобальный сигнал?

    Цитата Сообщение от Spir0 Посмотреть сообщение
    Обычно это описывается в VHDL, как <в зависимости от сигнала такого то (event) на выходах установить "ZZZZ">, если в схематике, то там есть либо регистр с Z-состоянием, либо буфер с Z-состоянием, на вход управления которого и подается сигнал управления.
    2) Фишка в том, что Z-выходы регистра внутри ПЛИС я могу задать, а вот будут ли ВНЕШНИЕ выводы ПЛИС при этом с Z-состоянием? Это ведь не одно и то же?

  9. #269
    Member
    Регистрация
    15.02.2005
    Адрес
    г. Днепропетровск, Украина
    Сообщений
    166
    Спасибо Благодарностей отдано 
    2
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от IanPo Посмотреть сообщение
    1) Что такое глобальный сигнал?
    "GSR (Global Set/Reset), который можно использовать для установки или сброса всех триггеров в проекте (для этого достаточно их назначить), GTS (Global Tri-State) - для перевода всех буферов ПЛИС в "третье" состояние и GCK (Global Clock) - для подачи тактового сигнала"

    Цитата Сообщение от IanPo Посмотреть сообщение
    2) Фишка в том, что Z-выходы регистра внутри ПЛИС я могу задать, а вот будут ли ВНЕШНИЕ выводы ПЛИС при этом с Z-состоянием? Это ведь не одно и то же?
    Если подключишь эти выходы к внешним выводам (назначишь внешние пины), то будут. Fitter же просто раскидывает функции по внутренним блокам и соединяет с внешними пинами, т.е. ему нет разницы внутренний или внешний, как работа элемента соединенного с внешним пином прописана, то на выходе и получишь.
    Ленинград-2 + 256кБ + BDI (FDD Teac 5.25 + 3.5) + YM2149 + PS/2 Keyb(Caro)
    Ленинград-2 + 1MБ (Kay1024 Standart) + BDI (FDD Teac 3.5) + AY8912 + PS/2 Keyb(Caro)
    Pentagon 128кБ (в процессе восстановления)

  10. #270
    Member
    Регистрация
    15.02.2005
    Адрес
    г. Днепропетровск, Украина
    Сообщений
    166
    Спасибо Благодарностей отдано 
    2
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вообщем все решилось, заменил 4_1E мультиплексоры на один 8_1E с управлением H1,H2,RAS - 128 режим заработал
    Ленинград-2 + 256кБ + BDI (FDD Teac 5.25 + 3.5) + YM2149 + PS/2 Keyb(Caro)
    Ленинград-2 + 1MБ (Kay1024 Standart) + BDI (FDD Teac 3.5) + AY8912 + PS/2 Keyb(Caro)
    Pentagon 128кБ (в процессе восстановления)

Страница 27 из 191 ПерваяПервая ... 232425262728293031 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4524
    Последнее: 25.02.2024, 16:02
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •