Важная информация

User Tag List

Страница 39 из 48 ПерваяПервая ... 353637383940414243 ... ПоследняяПоследняя
Показано с 381 по 390 из 475

Тема: Speccy2007 v1.06 (128 + AY + TRDOS)

  1. #381
    Master
    Регистрация
    20.01.2016
    Адрес
    п. Власиха, Московская обл.
    Сообщений
    593
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    19 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от zebest Посмотреть сообщение
    ну да, 2 бита на цвет, и синхру раздельную. Хотя да, ресурсов на внутренний скандаблер для VGA все равно не хватит. Тогда уж PAL развести на какой-нибудь приличной м\с.
    Если подать 28МГц вместо 14, то должно получиться, да и ресурсов вроде должно хватить, но это надо проверять.
    Хотя и 14 вроде достаточно должно быть, но генератор должен быть хороший, от атмеги вроде нормально генерация идет.


    UPD: новый вариант Beta второй версии, теперь работает очень стабильно, занимает 99 ячеек (у пентагоновской 98!) вроде всё что планировал сделал по самой прошивке, дальше уже буду курочить AVR, а потом железо Еще бы времени свободного побольше....

    UPD2: выкладываю релиз версии 2.0, всё работает очень стабильно, удалось даже еще одну ячейку отжать итого 97 ячеек в Pentagon версии и 98 ячеек в классической.

    Далее буду делать 3 версию, в ней будет VGA выход непосредственно, вместо RGBI

    PS: аврку еще буду допиливать, там не получается нажать = и еще что-то вроде )

    UPD3: смотрите более новую версию в сообщениях далее...
    Последний раз редактировалось EvgenRU; 09.02.2018 в 23:28. Причина: Вложение удалено

  2. #382
    Activist
    Регистрация
    02.01.2006
    Адрес
    Ufa
    Сообщений
    466
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    8
    Поблагодарили
    8 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Для какой платы предназначена прошивка постом выше и нужно ли вносить к.л. изменения в последние платы от Павла?
    У меня данная прошивка для ПЛИС не работает.
    Прошил обратно эту http://zx-pk.ru/threads/10316-speccy...l=1#post939360 и всё обратно заработало ...

  3. #383
    Master
    Регистрация
    20.01.2016
    Адрес
    п. Власиха, Московская обл.
    Сообщений
    593
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    19 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    У меня белая плата от Павла, на ней всё отлично работает. Попробуйте еще AVR из 1.9 прошить, может еще из-за этого, хотя сложно сказать.

    PS: решил всё-таки сделать порт FF, через Ж... по-другому там никак, потому что буферы кругом...

    сделал так
    Код:
    inout	wire	[7:0] mD,			// Data Bus
    ....
    wire port_FF_sel = iorq_n == 0 && rd_n == 0 && AVR_PORT == 0 && TRDOS_FLG == 0 && CPU_MODE == 1 && border_r == 1 && mA[3:0] == 4'b1111 && mA[7] == 1; // active 1
    
    assign nRD_BUF_EN = (RAM_CS == 0 && rd_n == 0) || port_FF_sel == 1? 1'b0 : 1'b1;
    assign WR_BUF = hcnt[1:0] == 3 || port_FF_sel == 1 ? 1'b1 : 1'b0;
    assign mD = port_FF_sel == 1 ? attrs : 8'bzzzzzzzz;
    в тесте 4.30 определяется наличие порта #FF, арканоид работает, но с бешенной скоростью, Target Renegade всё так же не грузится... может у кого какие идеи будут?
    Последний раз редактировалось EvgenRU; 06.02.2018 в 22:58.

  4. #384
    Activist
    Регистрация
    02.01.2006
    Адрес
    Ufa
    Сообщений
    466
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    8
    Поблагодарили
    8 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    У меня тоже белая плата от Павла. Но ни последняя, не предпоследняя твои прошивки для ПЛИС у меня не заработали.
    Хотя прошивка для AVR из предпоследнего архива работает.
    Оставил сейчас AVR c твоей прошивкой, а ПЛИС с оригинальной из моего поста.

  5. #385
    Master
    Регистрация
    20.01.2016
    Адрес
    п. Власиха, Московская обл.
    Сообщений
    593
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    19 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А не работает как, совсем или что-то показывает?

  6. #386
    Activist
    Регистрация
    02.01.2006
    Адрес
    Ufa
    Сообщений
    466
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    8
    Поблагодарили
    8 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Иногда проскакивает черный экран, иногда белый с квадратиками, но ни разу меню не показало. С оригинальной прошивкой всё отлично работает ...

  7. #387
    Master
    Регистрация
    20.01.2016
    Адрес
    п. Власиха, Московская обл.
    Сообщений
    593
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    19 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Странно это... а какая м/с памяти и какие буферы стоят? у меня HM628128LP-10 (100нс) и 74HC245, 74HC573, альтера 15нс
    Последний раз редактировалось EvgenRU; 06.02.2018 в 23:38.

  8. #388
    Activist
    Регистрация
    02.01.2006
    Адрес
    Ufa
    Сообщений
    466
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    8
    Поблагодарили
    8 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    микросхемы все НСТ, альтера 15нс , память UT621024PC-70LL, ATMEGA32A.

  9. #389
    Master
    Регистрация
    20.01.2016
    Адрес
    п. Власиха, Московская обл.
    Сообщений
    593
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    32
    Поблагодарили
    19 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Тогда совсем непонятно.... подождем тогда, может еще кто-то отпишется, работает или нет... у меня точно все прошивки работают.

  10. #390
    Member
    Регистрация
    11.03.2012
    Адрес
    г. Новосибирск
    Сообщений
    55
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от EvgenRU Посмотреть сообщение
    Тогда совсем непонятно.... подождем тогда, может еще кто-то отпишется, работает или нет... у меня точно все прошивки работают.
    Я в 372 сообщении писал, что у меня прошивка не заработала. Последняя рабочая была CPLD вер. 1.51, но связывал это с медленной памятью. Пока ОЗУ не поменял и сказать в этом ли причина точно не могу. На более поздних прошивках проявлялось в том, что Speccy не загружался, а на экране был мусор. Тест памяти, запущенный из ПЗУ, выдавал ошибки, там где их раньше не было.

Страница 39 из 48 ПерваяПервая ... 353637383940414243 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Speccy2007 v1.00
    от syd в разделе Speccy-2007/2010
    Ответов: 797
    Последнее: 20.06.2017, 13:42
  2. Speccy2007 v2.00
    от molodcov_alex в разделе Speccy-2007/2010
    Ответов: 152
    Последнее: 27.07.2009, 23:58
  3. TRDOS VERSIONS
    от VELESOFT в разделе Оси
    Ответов: 4
    Последнее: 03.05.2008, 23:42
  4. TRDOS для Neo
    от ILoveSpeccy в разделе Внешние накопители
    Ответов: 20
    Последнее: 08.03.2008, 15:04
  5. TRDOS rom with monitor
    от VELESOFT в разделе Оси
    Ответов: 1
    Последнее: 12.08.2007, 17:53

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •