User Tag List

Страница 222 из 236 ПерваяПервая ... 218219220221222223224225226 ... ПоследняяПоследняя
Показано с 2,211 по 2,220 из 2351

Тема: ZXKit1 - плата VGA & PAL

  1. #2211
    Activist
    Регистрация
    20.12.2006
    Адрес
    Minsk
    Сообщений
    280
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    9 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от UncleDim Посмотреть сообщение
    видимо пора привязаться к ней щупами осциллографа, а то так можно долго гадать
    в том то и дело что осциллографом там все проверено МНОГОКРАТНО. На всех выводах присутствуют сигналы .... на всякий подтянул к питанию шину данных .... в зависимости что на экране - линии данных D0 D6 есть сигналы или просто 0

  2. #2212
    Activist
    Регистрация
    17.03.2009
    Адрес
    Moscow
    Сообщений
    465
    Спасибо Благодарностей отдано 
    20
    Спасибо Благодарностей получено 
    48
    Поблагодарили
    32 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от backa Посмотреть сообщение
    На всех выводах присутствуют сигналы
    В этом у меня сомнений нет, ибо даже третье состояние - это тоже сигнал)
    Ловите операции чтения, записи, их взаимное расположение, длительности, отсутствие конфликтов и т.п.
    Лучей-то хоть два? четыре конечно вообще шик. а "в однобортном сейчас никто не воюет")
    Profi v3.2 512K+color; Profi 6.2B; МС-0511

  3. #2213
    Activist
    Регистрация
    20.12.2006
    Адрес
    Minsk
    Сообщений
    280
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    9 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от UncleDim Посмотреть сообщение
    Лучей-то хоть два? четыре конечно вообще шик
    лучей как раз 4 и еще лог.анализатор на 16 каналов - к сожалению , только на работе .
    Я уже многократно убеждался в отсутствии "контактов где они нужны" и КЗ между ножками CPLD.
    Все идет к тому, что напишу простой скрипт для "JTAG Live Studio" на Python , который проверит эту связку "CPLD+RAM" .... я им уже баловался - моргал светодиодами на другой платке с Xilinx....
    Есть у меня сомнения по поводу того, что Quatrus "оптимизирует" что-то и выкидывает нужное из кода.
    Я настройки не менял особо там - только неиспользуемые пины в 3ее состояние перевел и на TTL уровни.
    Я не автор и не могу судить как этот проект работает в реале в CPLD. По-этому и надоедаю автору и "со-авторам" с вопросами системного характреа. А по электронике я сам разберусь (с КЗ и обрывами и конфликтами на шинах - уже много десятков лет этим занимаюсь)) ).
    Я очень ценю помощь отвечающих в этом топике!!! Это помогает найти ИСТИНУ))
    Последний раз редактировалось backa; 27.06.2023 в 02:12.

  4. #2214
    Master Аватар для valerium
    Регистрация
    03.05.2020
    Адрес
    г. Челябинск
    Сообщений
    761
    Записей в дневнике
    2
    Спасибо Благодарностей отдано 
    229
    Спасибо Благодарностей получено 
    259
    Поблагодарили
    136 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от backa Посмотреть сообщение
    Есть у меня сомнения по поводу того, что Quatrus "оптимизирует" что-то и выкидывает нужное из кода.
    Я настройки не менял особо там - только неиспользуемые пины в 3ее состояние перевел и на TTL уровни.
    Ну чтоб это не превращалось в гадание, предлагаю файлы проекта всем совместно глянуть, может свежим взглядом что-то увидим

  5. #2215
    Activist
    Регистрация
    17.03.2009
    Адрес
    Moscow
    Сообщений
    465
    Спасибо Благодарностей отдано 
    20
    Спасибо Благодарностей получено 
    48
    Поблагодарили
    32 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от backa Посмотреть сообщение
    неиспользуемые пины в 3ее состояние перевел
    (безотносительно обсуждаемого железа - не лучшее решение для неиспользуемых пинов.)
    Цитата Сообщение от backa Посмотреть сообщение
    Я не автор и не могу судить как этот проект работает в реале в CPLD
    ну а исходник на что, его надо вдумчиво курить)
    да и функционал скандаблера не бог весть какой сложный: в пределах одной входной видеостроки на одну запись в память приходится два чтения, на один входной строчный синхроимпульс генерится два выходных - вот эту картинку и стоит для начала снять анализатором (необязательно при этом заводить все адреса, достаточно нескольких младших разрядов для оценки правильности работы счетчиков)

    - - - Добавлено - - -

    Цитата Сообщение от valerium Посмотреть сообщение
    файлы проекта всем совместно глянуть
    да и схему с макетки срисовать не лишним будет (само-реверс-инжиниринг, как метод отладки. иногда приводит к неожиданным результатам))
    Profi v3.2 512K+color; Profi 6.2B; МС-0511

  6. #2216
    Activist
    Регистрация
    20.12.2006
    Адрес
    Minsk
    Сообщений
    280
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    9 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от valerium Посмотреть сообщение
    Ну чтоб это не превращалось в гадание, предлагаю файлы проекта всем совместно глянуть, может свежим взглядом что-то увидим
    так это же Ваши исходники я использую из этой темы)))

    - - - Добавлено - - -

    Цитата Сообщение от valerium Посмотреть сообщение
    предлагаю файлы проекта всем совместно глянуть, может свежим взглядом что-то увидим
    вот оба , Вами выложенные в теме ...
    ZXKit1_VGA-lite_EPM7128SQI_1.0.2_beta_Verilog.zipZXKit1_VGA-lite_EPM7128SQI_1.0.2_beta2_VHDL.zip

    - - - Добавлено - - -

    Цитата Сообщение от UncleDim Посмотреть сообщение
    да и схему с макетки срисовать не лишним будет (само-реверс-инжиниринг, как метод отладки. иногда приводит к неожиданным результатам))
    схемы НЕТ как таковой)) две макетки - на них 2 чипа соединные проводами)))

  7. #2217
    Master Аватар для valerium
    Регистрация
    03.05.2020
    Адрес
    г. Челябинск
    Сообщений
    761
    Записей в дневнике
    2
    Спасибо Благодарностей отдано 
    229
    Спасибо Благодарностей получено 
    259
    Поблагодарили
    136 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от backa Посмотреть сообщение
    так это же Ваши исходники я использую из этой темы)))
    вот оба , Вами выложенные в теме ...
    Это не про код базового модуля, а как раз про побочные штуки внутри проекта, меня интересовал .qsf и финальный .pin - дело ж в каких-то мелочах..
    qsf вроде без вопросов, да и в коде, по сути, нету изменений кроме ряды константных выходов, хотя для макета я бы не тянул отдельные провода к A17, A18 и CS, а занулил бы - это припаять проще, чем к ПЛИСке )
    Память в DIP32, то есть на в reverse-корпусе, тут тоже не ошибиться. На 22й ноге всегда 0 ?

    схемы НЕТ как таковой)) две макетки - на них 2 чипа соединные проводами)))
    Вот схема этих проводов и интересует, ошибку ж ищем)
    Я тоже сталкивался с тем, что доказявая по своему клубку проводов себе (и не только себе), что все правильно, вдруг обнаруживается какой-нибудь нежданчик.

  8. #2218
    Activist
    Регистрация
    20.12.2006
    Адрес
    Minsk
    Сообщений
    280
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    9 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от valerium Посмотреть сообщение
    Я тоже сталкивался с тем, что доказявая по своему клубку проводов себе (и не только себе), что все правильно, вдруг обнаруживается какой-нибудь нежданчик.
    я же многократно говорил, что в программе ТорJtag ВСЕ ЛИНИИ С CPLD дрыгал и смотрел на ОЗУ!!! на соотвествующем пине....на CS всегда "0"))) Я подключал все пины от ОЗУ к CPLD как для этого случая - программно полностью управлять ОЗУ из строннего софта по JTAG Boundary-Scan technology. Надежно и удобно. А еще можно прошивать таким образом ПЗУ ВНУТРИ СХЕМЫ ( что я и делал с основной ПЗУ - правда не быстро - 2часа для 512к)

    Ничего не остается как снова пройтись в режиме JTAG с тестером ну или на работе распаяю пины с шины данных и управления ОЗУ(для логического анализатора) или скриптом на Pythone все-таки напишу тест для ОЗУ.

    Цитата Сообщение от valerium Посмотреть сообщение
    Память в DIP32, то есть на в reverse-корпусе, тут тоже не ошибиться.
    Не совсем понял , что Вы имели ввиду но память находиться ПОД CPLD - место надо экономить на макетке и линии короче будут))) По-идее на макетке весь Синклер можно засунуть под CPLD на переходной плате - у меня под основной CPLD 2 ОЗУ корпуса расположены очень удобно))) Правда много макроячеек съедает ручное назанчение пинов на CPLD (((( Я изза этого не смог Карабас нано весь впихнуть в 216 макроячеек (на авторасстановке все влазит)
    Последний раз редактировалось backa; 27.06.2023 в 23:26.

  9. #2219
    Master
    Регистрация
    20.06.2014
    Адрес
    г. Орск, Оренбургская обл.
    Сообщений
    780
    Спасибо Благодарностей отдано 
    25
    Спасибо Благодарностей получено 
    62
    Поблагодарили
    48 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от backa Посмотреть сообщение
    Правда много макроячеек съедает ручное назанчение пинов на CPLD (((( Я изза этого не смог Карабас нано весь впихнуть в 216 макроячеек (на авторасстановке все влазит)
    Здесь "корень зла" лежит в малой разрядности внутренней шины PIA, всего 36 сигналов. При автотрассировке фиттер старается расположить функциональные блоки проекта в LAB-ах так локально, чтобы как можно меньше расходовать сигналы PIA.
    Последний раз редактировалось LeoN65816; 28.06.2023 в 07:44.
    Турбо АГАТ-9/16 (ЦП 65C802, 5 Махов, dual-port SRAM).

  10. #2220
    Activist
    Регистрация
    17.03.2009
    Адрес
    Moscow
    Сообщений
    465
    Спасибо Благодарностей отдано 
    20
    Спасибо Благодарностей получено 
    48
    Поблагодарили
    32 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от backa Посмотреть сообщение
    на авторасстановке все влазит
    А как на авторасстановке vgalite себя поведет интересно? Меня смутила не особо высокая расчетная частота F14, чуть меньше 28Мгц...
    Profi v3.2 512K+color; Profi 6.2B; МС-0511

Страница 222 из 236 ПерваяПервая ... 218219220221222223224225226 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ZXKit1 - конструктор для ZX-творчества
    от zx-kit в разделе ZX Концепции
    Ответов: 449
    Последнее: 07.01.2020, 15:42
  2. Что это за плата?
    от Sergei Frolov в разделе Несортированное железо
    Ответов: 3
    Последнее: 05.07.2009, 16:49
  3. От чего эта плата?
    от Nikita в разделе Несортированное железо
    Ответов: 8
    Последнее: 26.12.2008, 23:49
  4. Подскажите что за плата это ?
    от Mad Killer/PG в разделе Несортированное железо
    Ответов: 2
    Последнее: 18.12.2008, 00:50
  5. Что это за плата кто знает ?
    от sinchuk в разделе Несортированное железо
    Ответов: 14
    Последнее: 30.11.2008, 17:29

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •