Сделал строб по чтению, записи и рефрешу так:
-- SDRAM
process(clk_sdr,sdr_wr_o,sdr_wr)
variable tmp: std_logic_vector(2 downto 0);
begin
if rising_edge(clk_sdr) then
sdr_wr_o <= '0';
if (sdr_wr='1') and (tmp(0)='0') then
sdr_wr_o <= '1';
end if;
tmp(0) := sdr_wr;
tmp(1) := tmp(0);
tmp(2):= tmp(1);
end if;
end process;
Но все равно игры сбрасываются спонтанно, а все тесты работают как часы - ошибок нет(. Где то жесткая рассинхронизация, как я ненавижу такие проблемы