Важная информация

User Tag List

Страница 34 из 67 ПерваяПервая ... 303132333435363738 ... ПоследняяПоследняя
Показано с 331 по 340 из 661

Тема: Еще один Орион на ПЛИС

  1. #331
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение
    А вот интересно: можно ли сделать так, когда в АЦД для хранения кодов символов в ОЗУ используются строки не кратные 8 (0, 8, 16, ...), а (0+1, 8+1, 16+1, ...) или (0+2, 8+2, 16+2, ...) или...
    Хотя бы для этого и отображение первой видимой строки пикселей на экране начиналось со сдвигом вниз на 1 или 2 или ... строк пикселей. Т.е. сделать чтобы 7 строк по 64 байта в ОЗУ не пропадали в недоступности закрытые зануляющим мультиплексором, а в них можно было хранить еще несколько текстовых "экранных областей"?
    Можно, если с какого-либо или нового порта завести 3 бита на мультиплексор. Оба экранных порта уже полностью заняты...
    "Байт-48"

  2. #331
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #332
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    107
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    Можно, если с какого-либо или нового порта завести 3 бита на мультиплексор. Оба экранных порта уже полностью заняты...
    А можешь написать что в итоге получилось на битах портов F8 и FA ?
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  4. #333
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение
    А можешь написать что в итоге получилось на битах портов F8 и FA ?
    На схеме в предыдущем посте всё видно - надо искать ИР35 с номерами больше 100. Все порты, отвечающие за видео, вынесены в эту схему, что бы минимизировать шину.
    F8 - 0-4 управление видеорежимами (128+ПРО), 6 бит на АЦД, 7 бит свободен.
    FA - 0-1 на видеобанки, 2-5 номер шрифта АЦД, 6 выключение регенерации (из ПРО), 7 расширенный экран (512 точек, так же из ПРО).
    Не уверен по 6 биту F8 - может имелся в виду 6-ой при отсчёте с 1?
    "Байт-48"

  5. #334
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    107
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    На схеме в предыдущем посте всё видно - надо искать ИР35 с номерами больше 100. Все порты, отвечающие за видео, вынесены в эту схему, что бы минимизировать шину.
    F8 - 0-4 управление видеорежимами (128+ПРО), 6 бит на АЦД, 7 бит свободен.
    FA - 0-1 на видеобанки, 2-5 номер шрифта АЦД, 6 выключение регенерации (из ПРО), 7 расширенный экран (512 точек, так же из ПРО).
    Не уверен по 6 биту F8 - может имелся в виду 6-ой при отсчёте с 1?
    А если так: режим "выключения регенерации (из ПРО)" выкидываем, оно и в ПРО то не использовалось, и смысл его не ясен (похожий режим был и на 128 как неслучайный дефект, но он явно был не на бите 6). Вместо этого FA.6 используем для включения АЦД, и тогда F8.5-7 (3 бита) как раз хватает для выбора одной отображаемой из восьми текстовых "экранных областей" внутри куска 16к, базовый адрес которого как и ранее определяется FA.0-1

    Не могу представить что бы мне где-то было нужно ПО, которое убивает содержимое всего ОЗУ. Тем более что убить его можно и без выключения регенерации (которая ХЗ как теряет информацию).
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  6. #335
    Master Аватар для TomaTLAB
    Регистрация
    09.03.2017
    Адрес
    г. Троицк
    Сообщений
    607
    Спасибо Благодарностей отдано 
    124
    Спасибо Благодарностей получено 
    63
    Поблагодарили
    47 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    В ПЛИС ROM выполнена как On-Chip-Memory, поэтому там требуется тактирование (синхронная память с латчами, все дела).
    При переходах тудым-судым от ПЛИС к "реалу" не забудьте про этот момент.
    А то я полчаса втыкал на ересь в первом столбце символов, пока до меня доперла очевидная вещь, что у меня знакогенератор на такт по адресу и на два по данным коматозит относительно счетчиков.
    electronox necromancer

  7. #336
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от TomaTLAB Посмотреть сообщение
    При переходах тудым-судым от ПЛИС к "реалу" не забудьте про этот момент.
    А то я полчаса втыкал на ересь в первом столбце символов, пока до меня доперла очевидная вещь, что у меня знакогенератор на такт по адресу и на два по данным коматозит относительно счетчиков.
    Это я в курсе. Сегодня как раз получил и запаял платку с реальной памятью и процессором (оный посадил на ZIF-панельку). Так что завтра начну тестировать приближено к реальности.
    Ещё бы научиться задавать задержки в логических элементах на схеме для полноценной симуляции...

    А так - для подобных рассинхронизация буду добавлять "слепыши" с перемычками на некоторых сигналах - что бы потом туда вставить цепочки из буферов, например, для выравнивания таймингов.

    - - - Добавлено - - -

    Цитата Сообщение от Error404 Посмотреть сообщение
    А если так: режим "выключения регенерации (из ПРО)" выкидываем, оно и в ПРО то не использовалось, и смысл его не ясен (похожий режим был и на 128 как неслучайный дефект, но он явно был не на бите 6). Вместо этого FA.6 используем для включения АЦД, и тогда F8.5-7 (3 бита) как раз хватает для выбора одной отображаемой из восьми текстовых "экранных областей" внутри куска 16к, базовый адрес которого как и ранее определяется FA.0-1

    Не могу представить что бы мне где-то было нужно ПО, которое убивает содержимое всего ОЗУ. Тем более что убить его можно и без выключения регенерации (которая ХЗ как теряет информацию).
    В принципе, можно и так. Только бит 5 точно нигде не используется? По спекам с эмулятора - вроде бы нет, но мало ли...
    Всё равно софт будет писаться "де-факто", под имеющееся решение, поэтому ещё можно крутить как угодно
    "Байт-48"

  8. #337
    Master Аватар для TomaTLAB
    Регистрация
    09.03.2017
    Адрес
    г. Троицк
    Сообщений
    607
    Спасибо Благодарностей отдано 
    124
    Спасибо Благодарностей получено 
    63
    Поблагодарили
    47 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    ...и процессором (оный посадил на ZIF-панельку)...
    Процессор в ZIF-ку ставить практически бессмысленно, под него и обычная пойдет прекрасно. В ZIF-ку лучше сажать ПЗУ-хи (вернее EEPROM, всеми любимую W27, напр.) их горааздо чаще придется между железкой и программатором переставлять.
    electronox necromancer

  9. #338
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от TomaTLAB Посмотреть сообщение
    Процессор в ZIF-ку ставить практически бессмысленно, под него и обычная пойдет прекрасно. В ZIF-ку лучше сажать ПЗУ-хи (вернее EEPROM, всеми любимую W27, напр.) их горааздо чаще придется между железкой и программатором переставлять.
    У меня просто идея найти максимальную тактовую в имеющихся процессорах, что бы определиться с потолком турбирования для тестов. Да и потом через эту же панельку буду вешать что-либо ещё, кроме процессора.
    "Байт-48"

  10. #339
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    107
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    В принципе, можно и так. Только бит 5 точно нигде не используется? По спекам с эмулятора - вроде бы нет, но мало ли...
    Всё равно софт будет писаться "де-факто", под имеющееся решение, поэтому ещё можно крутить как угодно
    Насколько мне известно, бит 5 нигде не используется. Так что предлагаю обойтись портами F8 и FA чтобы не плодить сущности. Итого будет так:
    Код:
    Порт 0F8H:
    
         D4  D3  D2  D1  D0
         ------------------
         0   x   0   0   0   - монохромный, палитра 1
         0   x   0   0   1   - монохромный, палитра 2
         0   x   0   1   x   - запрет видеосигнала
         0   x   1   0   0   - 2-битный (4-цветный), палитра 1
         0   x   1   0   1   - 2-битный (4-цветный), палитра 2
         0   0   1   1   x   - 16-цветный с групповым кодированием
         0   1   1   1   0   - псевдоцветной (цвет -  в порт 0FCH)
         0   1   1   1   1   - 16-цветный с групповым кодированием (+ палитра порта 0FCH)
         1   0   0   x   x   - 3-битный (8-цветный RGB)
         1   0   1   x   x   - 4-битный (16-цветный RGBI)
         1   1   0   x   x   - 3-битный (8-цветный RGB) +"палитра FC"
         1   1   1   x   x   - 4-битный (16-цветный RGBI) +"палитра FC"
    
         Код палитры для "псевдоцветного" режима и режима "цвет+палитра FC" доп.цвет записывается в  порт
    с адресом 0FCH. Тут обрати внимание на выделенное (минимальные правки - вместо безразличного Х там 
    кое-где теперь 0 или 1 чтобы развести все режимы с учетом "палитры") 
    Т.е. нужно правильно обработать биты D0 и D3
        Биты D5..D7 - адрес "текстовой плоскости экрана" внутри текущего 16к графического экрана
    
    
    Порт 0FAH:
    
         D0 \ номер экрана
         D1 /
         D2..D5 - адрес офсета текстового фонта в ПЗУ текстовых шрифтов
         D6 - включение режима АЦД (текстовый экран)
         D7 - включение широкого экрана
    Последний раз редактировалось Error404; 12.04.2018 в 13:40.
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  11. #340
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Есть ли прошивки теста памяти для Орион-ПРО? Собрал память на платке, подключил. Но где-то ошибка с таймингами, судя по всему - не показывается меню. Клавиатуры, что бы "в слепую" выбрать пункт меню, нет.
    Последний раз редактировалось andreil; 13.04.2018 в 15:24.
    "Байт-48"

Страница 34 из 67 ПерваяПервая ... 303132333435363738 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Эмулятор ZX-Poly
    от Raydac в разделе Эмуляторы
    Ответов: 108
    Последнее: 03.05.2024, 02:15
  2. ОРИОН-2010 на ПЛИС.
    от Ewgeny7 в разделе Орион
    Ответов: 447
    Последнее: 23.11.2016, 12:27
  3. Еще один Ленинград 48
    от Ewgeny7 в разделе Ленинград
    Ответов: 0
    Последнее: 16.10.2007, 22:31
  4. Ещё один Д.Р.
    от GNTB в разделе Поздравления
    Ответов: 4
    Последнее: 19.07.2006, 01:52
  5. Ещё один подраздел
    от CityAceE в разделе Форум
    Ответов: 2
    Последнее: 22.05.2005, 11:57

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •