Важная информация

User Tag List

Страница 14 из 86 ПерваяПервая ... 101112131415161718 ... ПоследняяПоследняя
Показано с 131 по 140 из 856

Тема: PDP-11 на FPGA

  1. #131
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Старинный FPGA с механической прошивкой
    http://www.KpXX.Ru/Феликс

  2. #132
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Уф... Война с сериал-портами То, как сделано у автора плюс - ну вылетело у меня из головы, что в VHDL - это не операторы присваивания, а соединения и у них есть направление сигнала... В общем, то в одном месте пытался соединить rx с rx, tx с tx, то в другом В очередной раз - рисуем на бумажке - вход выход - пишем код Переделал Заработало Теперь делаю более простой вариант переключения - кто у меня системный терминал - COM порт PC или модуль VT в FPGA

  3. #133
    Guru
    Регистрация
    02.03.2015
    Адрес
    г. Караганда, Казахстан
    Сообщений
    2,321
    Спасибо Благодарностей отдано 
    35
    Спасибо Благодарностей получено 
    225
    Поблагодарили
    177 сообщений
    Mentioned
    17 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Сделай и тот, и другой, один 177560, второй 176570 и меняй у них адреса, это изменить один бит.
    Кто мешает тебе выдумать порох непромокаемый? (К.Прутков, мысль № 133)

  4. #134
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Поздравляю, ты тоже сейчас думаешь как программист, а не как железячник

    В синтезируемом PDP есть 4 модуля KL-11 (так что вопрос не в - сделай тот и другой у автора сделано достаточное количество)

    Их нужно

    а) правильно соединить с выходным серийным портом и портом синтезируемого VT
    б) параметризовать эти СОЕДИНЕНИЯ

    Основная война у меня - пункт а

    - - - Добавлено - - -

    Могу сказать образней.
    Перед тобой стоит PDP, откуда выходят два кабеля - в два терминала
    Нужно поменять их подключение.
    Ты предлагаешь мне добраться до плат контроллеров и поменять адреса на них
    Я хочу - просто переткнуть провода

    Но это я уже научился делать (и не втыкая провод не в то гнездо)
    Теперь моя задача - сделать переключатели, которыми я мог бы выбирать - в какой порт воткнут терминал.
    В общем, такой переключатель я "спаял", но опять провода перепутал

  5. #135
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Уф... Вроде сделал типа простого мультиплексора. С утра правда успел проверить только, что на нулевом канале с PC и на первом канале с VT всё работает. Вечером проверю, если РС сидит на первом канале, а VT на нулевом - тоже всё работает Но почти уверен В коде переключение выглядит так

    Код:
    portForFPGA <= 0; -- PC подключен на PDP к KL11-0
    portForVT   <= 1; -- VT подключен на PDP к KL11-1
    или

    Код:
    portForFPGA <= 1; -- PC подключен на PDP к KL11-1
    portForVT   <= 0; -- VT подключен на PDP к порту KL11-0
    Последний раз редактировалось Hunta; 10.07.2018 в 09:04.

  6. #136
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Мля... Думаю дальше

  7. #136
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #137
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Уф... Вроде убедил синтезатор сделать так, как Я хочу, а не так, как ЕМУ хочется В попытках убедить код немного поменялся, теперь выглядит так:

    Код:
      type SerialPortOnDevice is (PDPSerialPort0, PDPSerialPort1, PDPSerialPort2, PDPSerialPort3, XUSerialPort);
    
      -- FPGA port connection
      constant FPGAPortForSerial : SerialPortOnDevice := PDPSerialPort0;
    
      -- for vt
      constant VTPortForSerial   : SerialPortOnDevice := PDPSerialPort1;
    Так же исправил неточность с XU (но учитывая, что до его проверки я не дошёл - пока это никак не сказалось бы

  9. #138
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Похоже, с серийниками разобрался Ещё немного знаний о электронике - науке о контактах и соединениях

    Причесал код (после безумных разборок с серийными портами).
    Собрал один вариант соединений (системный терминал - к PC, доп терминал - к VT) - работает.
    Поменял код (системный терминал - к VT, доп терминал - к PC), поставил синтезироваться. Проверять буду утром

    Если всё нормально - продолжу причёсывать код в плане интерфейсов (переделка под RECORD)

  10. #139
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Двигаемся дальше

  11. #140
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,996
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Блямбус... Только разобрался с одним... и влетел в другое... Кабы раньше то подумать.... Короче, скорее всего застрял надолго - буду учиться работать с памятью. Собственно, с этой темы и начались большие переделки PDP-2011.

    Пока на генерируемой в FPGA. Надеюсь, надыбленное пригодится с SDRAM.

    Ушёл надолго - вернусь не скоро Ну то есть перодически буду сюда пописать об неудачах (много) и успехах (мало) )

Страница 14 из 86 ПерваяПервая ... 101112131415161718 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. PDP-11/83 -- дошли руки...
    от form в разделе ДВК, УКНЦ
    Ответов: 470
    Последнее: 27.04.2021, 15:22
  2. Эмуляторы PDP-11
    от form в разделе ДВК, УКНЦ
    Ответов: 99
    Последнее: 15.03.2021, 14:53
  3. посьба к ГУРУ pdp-11
    от bigral в разделе ДВК, УКНЦ
    Ответов: 11
    Последнее: 13.09.2014, 23:53
  4. PDP-11 литература
    от bigral в разделе ДВК, УКНЦ
    Ответов: 2
    Последнее: 26.03.2013, 07:54

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •