Важная информация

User Tag List

Страница 5 из 10 ПерваяПервая 123456789 ... ПоследняяПоследняя
Показано с 41 по 50 из 97

Тема: Реинкарнация Вектор ПК-6128ц

  1. #41
    Veteran Аватар для Serg6845
    Регистрация
    30.12.2018
    Адрес
    г. Москва
    Сообщений
    1,014
    Спасибо Благодарностей отдано 
    7
    Спасибо Благодарностей получено 
    254
    Поблагодарили
    234 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Improver Посмотреть сообщение
    всё немного усложняется переводом прошивки РЕ3 в логику на ПЛИС...
    там переводить-то нечего...
    вот тут например http://forum.pk-fpga.ru/download/file.php?id=491 есть файлик RT5.VHD - прямо готовый пример...

    - - - Добавлено - - -

    Цитата Сообщение от electroscat Посмотреть сообщение
    Я более чем уверен что то из серии GAL16V8 100% сможет заменить РЕ3. Они и по скорости подойдут, и по стоимости не сильно оттопыриваются, и ко всему они многократно программируемые.
    скорее всего да. разве что могут вылезти нюансы если нужен открытый коллектор как у РЕ3.

    Зверинецъ

    Специалист (был когда-то "совсем стандарт") - 1988-2023
    Ленинград1 +256К +AY+BDI+VGA выход +Ethernet (Speccyboot) +Xmodem (115200)+divmmc
    Ленинград2 + CF карта
    Платформа 8085+Z80+CPLD (Специалист МХ2 +SD +FDD +PS/2kbd)
    БК0010-01 стоковый
    [свернуть]

  2. #42
    Master Аватар для Improver
    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    984
    Спасибо Благодарностей отдано 
    446
    Спасибо Благодарностей получено 
    404
    Поблагодарили
    226 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от electroscat Посмотреть сообщение
    Я более чем уверен что то из серии GAL16V8 100% сможет заменить РЕ3
    Я тоже уверен, что подойдут, я даже хотел попробовать, но у меня их в наличии нет, поэтому эксперименты с ними отложил на время, а пока решил сделать на том, что есть. И ещё у меня есть смутное сомнение, что для замены РЕ3 хватит одного корпуса GAL16V8, но это уже технические мелочи.

    Цитата Сообщение от Serg6845 Посмотреть сообщение
    там переводить-то нечего...
    вот тут например <...>
    Спасибо, поизучаю и попробую повторить.

    - - - Добавлено - - -

    Цитата Сообщение от Serg6845 Посмотреть сообщение
    могут вылезти нюансы если нужен открытый коллектор
    Там ОК не нужен, это сделано просто из-за РЕ3.
    Последний раз редактировалось Improver; 05.12.2023 в 19:42.

  3. #43
    Veteran Аватар для Serg6845
    Регистрация
    30.12.2018
    Адрес
    г. Москва
    Сообщений
    1,014
    Спасибо Благодарностей отдано 
    7
    Спасибо Благодарностей получено 
    254
    Поблагодарили
    234 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Improver Посмотреть сообщение
    И ещё у меня есть смутное сомнение, что для замены РЕ3 хватит одного корпуса GAL16V8, но это уже технические мелочи.
    хватит, куда он денется...
    берем прошивку отсюда https://zx-pk.ru/threads/8146-pk-612...=1#post1160950

    конвертим ее например в abel

    Скрытый текст

    Код:
    module  RE3
    title 'RE3 for Vector'
    
            A3,A2,A1,A0     pin  1,3,5,7;
            q7,q6,q5,q4,q3,q2,q1,q0   pin 12,13,14,15,16,17,18,19 istype 'com';
    
            adr     = [A3,A2,A1,A0];
            out     = [q7,q6,q5,q4,q3,q2,q1,q0];
    
    @dcset
    truth_table (adr -> out)
                  0  -> ^b11111110;
                  1  -> ^b11111100;
                  2  -> ^b01110100;
                  3  -> ^b01110000;
                  4  -> ^b01010000;
                  5  -> ^b11110100;
                  6  -> ^b10110000;
                  7  -> ^b11111010;
                  8  -> ^b11101111;
                  9  -> ^b11101101;
                 10  -> ^b11100101;
                 11  -> ^b11100001;
                 12  -> ^b11101001;
                 13  -> ^b11101001;
                 14  -> ^b11101001;
                 15  -> ^b11101011;
    
    end
    [свернуть]


    собираем при помощи например ispLever classic 1.4, получаем jedec файл:

    Скрытый текст

    Код:
    ispLEVER Classic 1.4.00.04.27.10 Lattice Semiconductor Corp.
    JEDEC file for: P16V8AS V9.0
    Created on: Tue Dec 05 21:21:52 2023
    
    RE3 for Vector
    *
    QP20* QF2194* QV0* F0*
     X0*
    NOTE DEVICE NAME: GAL16V8D-10LP*
    NOTE Table of pin names and numbers*
    NOTE PINS A3:1 A2:3 A1:5 A0:7 q7:12 q6:13 q5:14 q4:15 q3:16 q2:17 q1:18*
    NOTE PINS q0:19*
    L0000 11101111111111111111111111111111*
    L0256 11110111111101111111011111111111*
    L0288 11111011111110111111101111111111*
    L0512 11010111111111111111111111111111*
    L0544 11111111111101111111011111111111*
    L0576 11110111111111111111101111111111*
    L0768 11111011111101111111111111111111*
    L0800 11100111111111111111101111111111*
    L0832 11100111111110111111111111111111*
    L1024 11011111111111111111111111111111*
    L1280 11100111111110111111101111111111*
    L1536 11100111111101111111101111111111*
    L1792 11100111111110111111101111111111*
    L1824 11101011111101111111111111111111*
    L2048 01000000*
    L2128 1111111111111111111111111111111111111111111111111111111111111111*
    L2192 1*
    C3CF3*
    D6FC
    [свернуть]

    Зверинецъ

    Специалист (был когда-то "совсем стандарт") - 1988-2023
    Ленинград1 +256К +AY+BDI+VGA выход +Ethernet (Speccyboot) +Xmodem (115200)+divmmc
    Ленинград2 + CF карта
    Платформа 8085+Z80+CPLD (Специалист МХ2 +SD +FDD +PS/2kbd)
    БК0010-01 стоковый
    [свернуть]

  4. Этот пользователь поблагодарил Serg6845 за это полезное сообщение:

    Improver (05.12.2023)

  5. #44
    Master
    Регистрация
    20.06.2014
    Адрес
    г. Орск, Оренбургская обл.
    Сообщений
    780
    Спасибо Благодарностей отдано 
    26
    Спасибо Благодарностей получено 
    62
    Поблагодарили
    48 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Improver Посмотреть сообщение
    Спасибо, поизучаю и попробую повторить.
    Есть ещё вариант: существует такая прога Logic Friday 1.4, которая по таблице истинности может генерить формулы для GAL.
    Вот здесь маленькая прога-подмога к этой пятничке.
    Турбо АГАТ-9/16 (ЦП 65C802, 5 Махов, dual-port SRAM).

  6. Этот пользователь поблагодарил LeoN65816 за это полезное сообщение:

    Improver (06.12.2023)

  7. #45
    Master Аватар для Improver
    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    984
    Спасибо Благодарностей отдано 
    446
    Спасибо Благодарностей получено 
    404
    Поблагодарили
    226 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вот такая временная замена РЕ3 получилась:


    Прошивка для EPM3256

    Выполнена на базе "ускоренного" варианта, код на VHDL:
    Код:
    library IEEE;
    use IEEE.STD_LOGIC_1164.all;
    
    entity RE3 is
    	port
    	(
    	  address: in std_logic_vector(4 downto 0);
    		q: out std_logic_vector(7 downto 0);
    		ledin: in std_logic;
    		led: out std_logic
    	);
    end RE3;
    
    architecture behaviour of RE3 is
    begin
    	process(address, ledin)
    	begin
    		case address is
    			when "00000" => q <= "01111110";
    			when "00001" => q <= "01111100";
    			when "00010" => q <= "01110100";
    			when "00011" => q <= "01110000";
    			when "00100" => q <= "01010000";
    			when "00101" => q <= "11110100";
    			when "00110" => q <= "10110001";
    			when "00111" => q <= "11110011";
    			when "01000" => q <= "01101111";
    			when "01001" => q <= "01101101";
    			when "01010" => q <= "01100100";
    			when "01011" => q <= "01100000";
    			when "01100" => q <= "01100000";
    			when "01101" => q <= "01100000";
    			when "01110" => q <= "01100000";
    			when "01111" => q <= "01100010";
    			
    			when "10000" => q <= "11101111";
    			when "10001" => q <= "11101101";
    			when "10010" => q <= "11100100";
    			when "10011" => q <= "11100000";
    			when "10100" => q <= "11100000";
    			when "10101" => q <= "11100000";
    			when "10110" => q <= "11100001";
    			when "10111" => q <= "11100011";
    			when "11000" => q <= "01101111";
    			when "11001" => q <= "01101101";
    			when "11010" => q <= "01100100";
    			when "11011" => q <= "01100000";
    			when "11100" => q <= "01100000";
    			when "11101" => q <= "01100000";
    			when "11110" => q <= "01100001";
    			when "11111" => q <= "11100011";
    	 		when others => null;
    		end case;
    		led <= ledin;	
    	end process;	
    
    end behaviour;
    [свернуть]
    Пока что проблем с работой нет -- сигналы формируются хорошо (судя по показаниям осциллографа), память тестируется без ошибок, тест техпрогона запускается...

    Эксперименты с GAL отложил в связи с их отсутствием у меня в данный момент.

  8. Эти 3 пользователя(ей) поблагодарили Improver за это полезное сообщение:

    parallelno (12.12.2023), svofski (12.12.2023), thetrik (13.12.2023)

  9. #46
    Master Аватар для Improver
    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    984
    Спасибо Благодарностей отдано 
    446
    Спасибо Благодарностей получено 
    404
    Поблагодарили
    226 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Небольшой предновогодний отчёт о состоянии проекта:

    1. Обнаружена и исправлена досадная ошибка -- на микросхемах D54 и D55 (К155РУ2) были перепутаны адресные входы и входы данных. Причём ошибку допустил ещё при восстановлении схемы ПК-6128ц, а в новоделе не перепроверил. Исправил схемы и тут, и там, перерезал и перепаял 16 дорожек на плате -- изображение есть. Только оно почему-то заметно хуже, чем на оригинальном Векторе с той же CXA2075M -- красного цвета почти нет, всё зелёное... Но радует, что строчные и кадровые синхроимпульсы в идеале.

    2. Откорректировал циклы по работе графики в прошивке D10 (К155РЕ3, или в заменяющей её сейчас ПЛИС). На реале оказалось, что расстояние между импульсами FR7 и FR6 должно быть в два раза больше, иначе сигнал с триггера D49 отстаёт на пиксель. В настоящий момент первая половина прошивки выглядит так:
    Код:
    Адр.     0 1 2 3  4 5 6 7  8 9 A B  C D E F
    D0(RATO) 0 0 0 0  0 0 1 1  1 1 0 0  0 0 0 0
    D1(RAS)  0 0 0 0  0 0 0 0  1 0 0 0  0 0 0 1
    D2(CAS)  1 1 0 0  1 1 0 0  1 1 1 0  0 0 0 1
    D3(MX1)  1 0 0 0  0 0 0 0  1 1 0 0  0 0 0 1
    D4(MX2)  1 1 1 1  1 1 1 1  0 0 0 0  0 0 0 0
    D5(FR6)  1 1 0 0  1 1 1 1  1 1 1 1  1 1 1 1 -- чтение первой пары регистров
    D6(FR7)  1 1 1 1  1 1 0 0  1 1 1 1  1 1 1 1 -- чтение второй пары регистров
    D7(FR1)  0 0 0 0  1 1 1 1  0 0 0 0  0 0 0 0
             ^^^^^^^^^^^^^^^^  ^^^^^^^^^^^^^^^^
                   Экран              ОЗУ
    3. Запустил "Тест Устройств", он заработал, но выполнить тест памяти не смог -- выдал ошибку на этапе проверки диспетчера памяти. Анализ работы теста показал, что есть ошибки при обращении к памяти в режиме 023h/032h, это когда по адресам 8000h..FFFFh запись выполняется в Банк 3, а чтение из Банка 2 и наоборот. Причина пока не понятна, продолжаю тесты. Возможно всё решится небольшим сдвигом циклов в прошивке D10 (К155РЕ3).

    И ещё: в текущем состоянии (пока на плате не распаяно АУ, нет RTC, нет джойстиков...) потребление по питанию составляет 0,46...0,51А, т.е. пока ещё можно запитать от USB.

  10. Эти 2 пользователя(ей) поблагодарили Improver за это полезное сообщение:

    ivagor (29.12.2023), parallelno (30.12.2023)

  11. #46
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  12. #47
    Master Аватар для Improver
    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    984
    Спасибо Благодарностей отдано 
    446
    Спасибо Благодарностей получено 
    404
    Поблагодарили
    226 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Исправил работу с памятью, как я и предполагал, всё решилось выставлением циклов в прошивке D10 (К155РЕ3). Теперь первая половина прошивки выглядит так (полная прошивка выложена на гитхаб):
    Код:
    Адр.     0 1 2 3  4 5 6 7  8 9 A B  C D E F
    D0(RATO) 0 0 0 0  0 0 0 0  0 1 1 1  1 0 0 0 -- доступ процессора к памяти
    D1(RAS)  0 1 0 0  0 0 0 0  0 1 0 0  0 0 0 0
    D2(CAS)  0 1 1 1  0 0 1 0  0 1 1 1  0 0 0 0
    D3(MX1)  0 1 1 0  0 0 0 0  0 1 1 0  0 0 0 0 -- 0 = адрес по CAS, 1 = адрес по RAS
    D4(MX2)  0 1 1 1  1 1 1 1  1 0 0 0  0 0 0 0 -- ОЗУ / Графика
    D5(FR6)  1 1 1 1  0 0 1 1  1 1 1 1  1 1 1 1 -- чтение первой пары регистров (по положительному фронту F6M)
    D6(FR7)  1 1 1 1  1 1 1 1  0 0 1 1  1 1 1 1 -- чтение второй пары регистров (по положительному фронту F6M)
    D7(FR1)  0 0 0 0  0 0 1 1  1 1 0 0  0 0 0 0 -- 13-й бит адреса (графика) + тактовая частота 750кГц
             ^ ^^^^^^^^^^^^^^^^^ ^^^^^^^^^^^^^^
                     Экран             ОЗУ
    
    F 6МГц   0 1 0 1  0 1 0 1  0 1 0 1  0 1 0 1 -- тактовая частота генератора
    SVNC     1 0 0 1  1 0 0 1  1 0 0 1  1 0 0 1 -- тактовая частота процессора
    Больше нет ошибок в ОЗУ. Теперь надо разобраться с изображением...

  13. Этот пользователь поблагодарил Improver за это полезное сообщение:

    parallelno (18.01.2024)

  14. #48
    Master Аватар для Improver
    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    984
    Спасибо Благодарностей отдано 
    446
    Спасибо Благодарностей получено 
    404
    Поблагодарили
    226 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Очередной этап проекта. Как оказалось, с предыдущим вариантом прошивки "эмулятор РЕ3" на EPM3256 стал очень нестабилен -- реагирует искажениями и пропаданием/появлением изображения при касании щупом осцилографа даже к неподключённым пинам, попробовал заменить на GAL16V8. Из-за того, что у РЕ3 и GAL входы-выходы с разных сторон, пришлось поставить "галку" вверх выводами, получилось вот так:



    Сигналы стали заметно лучше, но с GAL есть провал в "0" сигнала FR1 при переходе адреса с хх011 на хх100 (возможно из-за небольшого отставания F1.5M), что приводило также к пропаданию изображения. Для исправления сдвинул прошивку на 4 бита влево:
    Код:
    Адр.     0 1 2 3  4 5 6 7  8 9 A B  C D E F -- совпадает с прошивкой ПК-6128ц
    D0(RATO) 0 0 0 0  0 1 1 1  1 0 0 0  0 0 0 0 -- доступ процессора к памяти
    D1(RAS)  0 0 0 0  0 1 0 0  0 0 0 0  0 1 0 0
    D2(CAS)  0 0 1 0  0 1 1 1  0 0 0 0  0 1 1 1
    D3(MX1)  0 0 0 0  0 1 1 0  0 0 0 0  0 1 1 0 -- 0 = адрес по CAS, 1 = адрес по RAS
    D4(MX2)  1 1 1 1  1 0 0 0  0 0 0 0  0 1 1 1 -- ОЗУ / Графика
    D5(FR6)  0 0 1 1  1 1 1 1  1 1 1 1  1 1 1 1 -- чтение первой пары регистров (по положительному фронту F6M)
    D6(FR7)  1 1 1 1  0 0 1 1  1 1 1 1  1 1 1 1 -- чтение второй пары регистров (по положительному фронту F6M)
    D7(FR1)  0 0 1 1  1 1 1 1  0 0 0 0  0 0 0 0 -- 13-й бит адреса (графика) + тактовая частота 750кГц
             ^^^^^^^^^^ ^^^^^^^^^^^^^^^^^ ^^^^^
                Экран          ОЗУ        Экран
    При таком варианте все глюки ушли, все тесты отрабатывают, на касания реакции нет. Кроме того могу отметить, что выравнивание картинки по центру экрана практически идеально, временные задержки синхроимпульсов с этой прошивкой выведены до варианта по схеме megov-а (о чём я делал некое исследование ранее).
    Полный вариант прошивки, как обычно, обновлён на гитхабе, прошивка для GAL16V8 с исходниками в этом архиве: GAL16V8_RE3.rar

    Теперь о грустном... На изображении крайне скверно выводится красный цвет, на больших площадях он просто пропадает, в результате чего в "Тесте техпрогона", например, фон не жёлтый, а зелёный. Причин тут может быть три, как я думаю:
    1. Брак одного из чипов К155РУ2 (хотя, ослик отличий в сигналах на выходах РУшек не показывает),
    2. Брак чипа CXA2075m -- китайцы вполне могли прислать некондицию,
    3. Непропай, или утечки и просадки на линии красного цвета от ЦАП до CXA2075.

    И второй неприятный момент: в тесте ЦПУ (и только там) на фоне проявляются вертикальные почти незаметные полосы:



    Причина пока непонятна, надо тестировать всё...

    Ну и ещё немного фоток с экрана, только хочу сразу предупредить, что на просадку по красному тут ещё накладывается "интеллектуальная" цветокоррекция фотоаппарата в моём телефоне, с которой я боролся, как мог.

    Скрытый текст



    [свернуть]

    Теперь, думаю, можно продолжить сборку, а с красным цветом разбираться в процессе.

  15. #49
    Guru
    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    7,870
    Спасибо Благодарностей отдано 
    661
    Спасибо Благодарностей получено 
    1,866
    Поблагодарили
    1,063 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Improver Посмотреть сообщение
    И второй неприятный момент: в тесте ЦПУ (и только там) на фоне проявляются вертикальные почти незаметные полосы:
    А что это за версия теста? Немного отличается и от 1.1 из комплекта 06Ц и от версии для 6128.
    Видно, что полосы на стыках байтов, т.е. или первая точка байта запаздывает или последняя точка заканчивается рано. Можно попробовать этот же цвет фона в бейсике - SCREEN0,0,16

    Цитата Сообщение от Improver Посмотреть сообщение
    2. Брак чипа CXA2075m -- китайцы вполне могли прислать некондицию,
    3. Непропай, или утечки и просадки на линии красного цвета от ЦАП до CXA2075.
    Для проверки можно попробовать поменять R и G на входе кодера.

  16. #50
    Master Аватар для Improver
    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    984
    Спасибо Благодарностей отдано 
    446
    Спасибо Благодарностей получено 
    404
    Поблагодарили
    226 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ivagor Посмотреть сообщение
    А что это за версия теста? Немного отличается и от 1.1 из комплекта 06Ц и от версии для 6128.
    Это я просто стал копать Тест устройств, и попутно его немного модернизировал... Но пока он не готов для выкладывания -- ещё не всё доделано.

    Цитата Сообщение от ivagor Посмотреть сообщение
    Видно, что полосы на стыках байтов, т.е. или первая точка байта запаздывает или последняя точка заканчивается рано.
    Не, я сейчас кажется догадался в причинах: там отключённые экранные области для теста заполняются кодом "ADD C" == 081h, и они "просвечивают". Кстати, при стартовой картинке, когда всё рисуется с отключённой палитрой, в реале немного проглядывают контуры фигур и текста, этого нет в эмуляторах. Надо будет проверить тест на реальном Векторе -- там тот же эффект наблюдался, насколько я помню.

    Цитата Сообщение от ivagor Посмотреть сообщение
    Для проверки можно попробовать поменять R и G на входе кодера.
    Пробовал переключать на подстроечных резисторах, красному это не помогло, но надо будет повторить, возможно в другом месте.

Страница 5 из 10 ПерваяПервая 123456789 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ПК-6128Ц: Обсуждение
    от falanger в разделе Вектор
    Ответов: 313
    Последнее: 29.02.2024, 21:03
  2. Ответов: 56
    Последнее: 18.11.2023, 02:54
  3. ATM Turbo - РЕИНКАРНАЦИЯ
    от eXzmos в разделе ATM
    Ответов: 55
    Последнее: 01.10.2022, 21:15
  4. "ВЕКТОР ПК-6128Ц" - хотелось бы узнать побольше.
    от derfliegenddehollander в разделе Вектор
    Ответов: 19
    Последнее: 31.07.2022, 19:47
  5. ПК-6128Ц: воссоздание платы
    от sh686 в разделе Вектор
    Ответов: 6
    Последнее: 20.06.2014, 03:08

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •