PDA

Просмотр полной версии : ZXKit1 - плата VGA & PAL



Страницы : 1 2 3 4 5 6 7 8 [9] 10

zx-kit
06.12.2022, 19:16
Будет ли работать RGBI-VGA с прошивкой для Профи для 12 МГц, если подключить к Орион-Про в режиме 512 точек , заменив в нем кварц на 24 МГц? На RGBI-VGA подать 12 МГц, Z80 переключить на 5 МГц. При 24 будет 6 МГц.

Savir
07.12.2022, 18:21
я пробовал не работает, пробовал отдельно собрав генератор на 14MHz, пробовал удваивать 7Mhz с самой орели, на работает, причина наверно нужно подгноять прошивку под орель

zx-kit
08.12.2022, 05:22
А если на Орион-128 вместо кварца 10 МГц припаять 12 МГц?

valerium
07.01.2023, 22:49
Очень с удовольствием использую эту разработку на разных спектрумах, особенно ее люблю за 50-герцовый выходной режим.
Но так получается, что использую только для подключения VGA и без лишних бантиков, а поэтому исходные большие версии платы мне стали не очень удобны. Решил это немного освежить, облегчил полную плату и сделал мини-версию VGA-lite без, на мой взгляд, излишеств:
- нет всего, что касается PAL-кодера,
- нет схемы удвоения частоты,
- миниатюрные паечные джамперы вместо стандартных,
- разъем JTAG паяется на край временно с прицелом на то, что после прошивки он легко будет удален,
- разъем VGA (под монтаж на кабель) ставится на край платы и фактически служит ее кронштейном,
- резисторы на входных цепях опциональные, я их практически нигде не ставил, потому что в большей части подключал к 3-вольтовым клонам,
- входной разъем задуман как 1-рядный PLS-хедер, также максимально плоский.

Бывало необходимо установить RGBI-VGA в тонкий плоский корпус (клавиатуры и т п), где каждый миллиметр на счету, поэтому также планирую установку этой платы совершенно без разъемов - все шлейфы запаиваются прямо на контактные площадки и сборка имеет толщину около 3-4 мм

В итоге плата 25х49мм на тех же двух основных корпусах (CPLD EPM3128ATC100, RAM CY7C1041DV33 или аналоги), более удобная для встраивания.
78301
78302
Разъем на заднем краю 10-пиновый - кроме стандартных 9 пинов (GND,+5v,R,G,B,I,KSI,SSI,F14Mhz) туда выведен еще один пин с перемычки JP7 - переключение выходной частоты кадров 50/60Гц, я это переключаю с одного из доп.выходов клавиатурного контроллера.
Третий ряд разъема VGA (нам там надо HSYNC и VSYNC) висит над платой и подключается к пятакам перемычками.

Переписал под нее прошивку 1.0.2, она практически повторяет авторскую прошивку Вадима zx-kit с сохранением всей исходной логики (прямо скажем, я не совсем полностью все ее особенности осознал). Другие версии прошивки вероятно тоже получится пересобрать с учетом перепиновки CPLD, но такой цели пока не ставилось.
В облегченной прошивке используются только перемычки JP2 (инверсия КСИ), JP3 (инверсия ССИ) и JP7 (кадровая 50/60гц), остальные не задействованы.

В архиве плата с герберами и описанием, прошивки тестовая и боевая 1.0.2. Плату заказывал в толщине 1мм - в этом случае без подгонок на нее натягивается кабельный разъем VGA.
78306

Плат на обрезках наделал с запасом, готов поделиться.

78303
78304
78305

tushkan
17.01.2023, 16:44
Комрады, проясните один момент плз.
В описании работы сказано, что входной сигнал F14MHz задерживается с помощью двух инверторов, чтобы получить удвоение частоты.
В прошивке во входных сигналах фигурирует
F14_2 : in std_logic := '1'; -- F14, задержанный с помощью двух инверторов
Но в схеме этих инверторов нет.
В списке компонентов для VGA также нет микросхемы типа 7404d или подобного.
Тогда получается, что сигнал берется с платы спектрума. Но в рекомендациях по подключению, опять же, фигурирует только F14MHz.
А?

valerium
17.01.2023, 17:14
Комрады, проясните один момент плз.
В описании работы сказано, что входной сигнал F14MHz задерживается с помощью двух инверторов, чтобы получить удвоение частоты.
В прошивке во входных сигналах фигурирует
F14_2 : in std_logic := '1'; -- F14, задержанный с помощью двух инверторов
Но в схеме этих инверторов нет.
В списке компонентов для VGA также нет микросхемы типа 7404d или подобного.

На некоторых платах, например от tetroid есть эта схема задержки фронта на двух инверторах, она требуется для 100гц-режимов, а тот фрагмент прошивки, видимо, из прошивки 3.х.х с поддержкой 100гц кадровой.
Со спека тактовая 14мгц во всех случаях приходит одна, если про это был вопрос.

tushkan
17.01.2023, 17:42
а тот фрагмент прошивки, видимо, из прошивки 3.х.х
Да нет, версия V2.0.8.08
Скажите, а какая у вас версия прошивки стабильно работает?

valerium
17.01.2023, 18:26
Да нет, версия V2.0.8.08
Скажите, а какая у вас версия прошивки стабильно работает?
Различия между версиями не в стабильности, а в функционале.
Для спека и без ЭЛТ мне, например, хватает 1.0.2 при 50/60гц (работает без удвоения тактовой частоты). Но это дело вкуса.
Для других машин или специальных мониторов другие версии могут потребоваться.

Если по существу, то 14мгц подаем на ногу, где цепь F14, ее же подаем на цепочку из двух инверторов 74hc04 (где-то дополнительно установленную), а после них на ногу F14_2.

Изначальнвя цель-то какая - что и к чему через zx-kit надо подключить?

tushkan
17.01.2023, 20:55
Цель подключить Karabas-128 через карабасовский же скандаблер.
https://github.com/andykarpov/karabas-scandoubler
Это типа клон ZXKita, отличия в дизайне, как я вижу. Инвертор там присутствует.
Я не могу нормально настроить этот karabas-scandoubler ни с карабасом, ни с Compact-256.
Уже модифицировал прошивку, менял версии - по фигу мороз.
Изображение не стабильно. Видно, что оно есть, но назвать это приемлемой работой язык не поворачивается.
Вот я и пытаюсь разобраться. Спрашивал в ветке про коробас, но там глухо, как в танке.

valerium
17.01.2023, 21:58
Цель подключить Karabas-128 через карабасовский же скандаблер.
Изображение не стабильно. Видно, что оно есть, но назвать это приемлемой работой язык не поворачивается.

Нестабильное в выходной части или во входной ? Срывается, едет, мерцает или как себя ведет ? Какой длины провода от спека до этой платы ?

Если тестовую прошивку (которая без захвата картинки и без использования памяти) перепинить под эту плату, собрать и залить - картинка стабильная ?
Вот если даже она будет нестабильная, то я бы советовал искать проблему в синхре 14mhz, которая видимо там идет с КМОП-выхода у CPLD. Укорачивать все до минимума или буферизировать ее прям в карабасе чем-то, имхо лучше TTL-элементами (на спеках с ТТЛ-генератором обычно все стабильно даже при длинных проводах без экранирования).

А вообще Андрей (andykarpov, автора Карабаса) здесь бывает и пишет, может вначале лучше его спросить ?

solegstar
18.01.2023, 12:53
Да нет, версия V2.0.8.08
Скажите, а какая у вас версия прошивки стабильно работает?
пробовали прошивать прошивку из гита?

- - - Добавлено - - -

и покажите на фото, или на видео, изображение с монитора.

tushkan
18.01.2023, 21:02
пробовали прошивать прошивку из гита?
и покажите на фото, или на видео, изображение с монитора.
Да, конечно, это первое, что я сделал. Изображение срывается, не стабильно.
Я много чего перепробовал. У Андрея там перепутаны HSYNC и VSYNC на разъеме карабаса и скандаблера, но вроде он это исправил перепиновкой, и вообще объединил эти сигналы.
Я пробовал и гитхаб как есть, и варьировал разное - все неудовлетворительно.
Вчера взял первую прошивку, вставил текст в квартус, а обвязку оставил от Андрея. Только убрал F14_2.
Если брать синхру откуда предполагается (с разъема ZXKIT1 Debug Header), то изображения нет. Но если взять синхру с 3 ноги ЛН1 - то изображение есть, и оно - о чудо! - даже стабильно.
Но вот если смотреть на диагональные разноцветные полоски - то они неровные. Как будто ширина пикселей в разных строчках разная.
Как-то это странно... можете сказать, есть ли в ZXKit такая проблема?
Как я понял, ZXKit пользует масса народа, и все счастливы. А про скандаблер чего-то никто не пишет, хотя вроде бы те же яйца, только в профиль.
Вот видео изображения

https://youtu.be/nN6jaPTgKWo

valerium
18.01.2023, 22:28
В первом случае, когда кси и сси подаются раздельно, не в том ли дело, что они инверсные ?
Скандаблер может работать с синхросмесью, поданной на оба синхровхода, что и происходит во втором варианте.

В общем, для первого варианта предлагаю попробовать переключить виртуальные перемычки inverse_ksi и inverse_ssi в значения 0 в karabas_scandoubler.vhd и посмотреть на реакцию.

tushkan
19.01.2023, 20:48
Ну, вообще-то этот скандаблер делался именно для этого карабаса.
И у автора там все что нужно выставлено.
Я пробовал разные вариации, но самое хорошее получилось с первой прошивкой ZXKit и соответственно с отказом от использования аппаратных инверторов.
Но за совет спасибо!

mifvi
20.01.2023, 03:55
Сегодня попробовал на Орион-ПРО режим 512 точек.
Все работает и со штатным кварцем на 20 MHz.
Только надо чтобы J3 был разомкнут, т. е. режим 60Hz на VGA монитор.

sagent
29.01.2023, 22:20
Дельта-С 74 микросхемы.

1. GND <- точка входа общий питание
2. +5V <- точка входа питание +5В
3. R <- 7-D60
4. G <- 9-D60
5. B <- 7-D53
6. I <- 9-D53
7. KSI <- 1-D14
8. SSI <- 2-D14
9. F14MHZ <- 8-D2
Подключил как указано, но сигнала нет. Может прошивка другая? У меня 1.02.

SaTaN5076
31.01.2023, 12:33
Добрый день. Кто-нибудь может подсказать? Имеем вот такую картинку:
https://pic.maxiol.com/images2/1675157234.533938201.f6.jpg
Изображение смещено влево, отображается не полностью. Кроме того, правая часть изображения копируется, накладывается сверху, растягиваясь в два раза. Перепробовал все прошивки и комбинации переключателей. Это самый лучший вариант. Сейчас параметры такие:
Прошивка - 2.0.9
Установлен джампер JP7
Частота кварца - 8 МГц
Частота КСИ - 54.47 Гц
Частота ССИ - 15.68 КГц

r3d
31.01.2023, 13:44
SaTaN5076, к какому клону подключаете?

SaTaN5076
31.01.2023, 14:00
SaTaN5076, к какому клону подключаете?

Это даже близко не спектрум..видеоадаптер на базе HD46505..процессор 8086.

r3d
31.01.2023, 14:09
SaTaN5076, тестовую прошивку пробовали?

Rio444
31.01.2023, 14:14
видеоадаптер на базе HD46505
Есть фото? Какой там стоит кварц?
Если

правая часть изображения копируется, накладывается сверху, растягиваясь в два разато очевидно надо поднимать


Частота кварца - 8 МГц

SaTaN5076
31.01.2023, 14:20
SaTaN5076, тестовую прошивку пробовали?

Фото с тестовой прошивки..
https://pic.maxiol.com/images2/1675163745.533938201.f2t.jpg

- - - Добавлено - - -


Есть фото? Какой там стоит кварц?

Фото..Только чем оно поможет...
https://pic.maxiol.com/images2/1675163959.533938201.f63.jpg
https://pic.maxiol.com/images2/1675163966.533938201.f64.jpg

Кварц на видеоадаптере 8 МГц.

Rio444
31.01.2023, 16:24
SaTaN5076, насчет "частоты кварца" был неправ, тут же немного по-другому захват выполняется.
Если строчную частоту уменьшить?
Кстати, её же можно посмотреть осциллографом, какая она на самом деле.

SaTaN5076
31.01.2023, 17:06
SaTaN5076,
Кстати, её же можно посмотреть осциллографом, какая она на самом деле.

Частота КСИ - 54.47 Гц
Частота ССИ - 15.68 КГц

Вот эти цифры я осциллографом смотрел...

Ссылка на схему от видеоадаптера.
CCX2 - разъем на монитор.
CCA - разъем к главной плате.
https://transfiles.ru/hzrhr

Вмешиваться в видеоадаптер не хотелось бы. Поправить бы прошивку плисины..

solegstar
31.01.2023, 20:29
Частота КСИ - 54.47 Гц
Частота ССИ - 15.68 КГц
получится глянуть для ССИ значение после запятой до третьего знака (в идеале в Гц измерять)? также осцилографом посмотрите частоту на 9 ноге D9 74LS174.

- - - Добавлено - - -

и как должно выглядеть правильное изображение с этого видеоадаптера?

SaTaN5076
01.02.2023, 05:18
получится глянуть для ССИ значение после запятой до третьего знака (в идеале в Гц измерять)? также осцилографом посмотрите частоту на 9 ноге D9 74LS174.

Это смогу сделать в субботу. Ушёл на выходные, железо на работе.

Фото с оригинальным монитором. Есть 2 режима отображения, мелкие и крупные символы. Но частотка одинаковая.
https://pic.maxiol.com/images2/1675217637.1522300809.firstrun.jpg
https://pic.maxiol.com/images2/1675217678.1522300809.img20190129082800.jpg
https://pic.maxiol.com/images2/1675217710.1522300809.img20190127132806.jpg

solegstar
01.02.2023, 13:23
Есть 2 режима отображения, мелкие и крупные символы. Но частотка одинаковая.
снимите показания для обоих режимов. попробую помочь.

Serg6845
01.02.2023, 13:59
Это смогу сделать в субботу. Ушёл на выходные, железо на работе.

Фото с оригинальным монитором. Есть 2 режима отображения, мелкие и крупные символы. Но частотка одинаковая.


вспомнился монитор геркулес - у которого не было задающего генератора строчной развертки. синхра подавалась прямо на выходной каскад и вроде представляла собой почти меандр. хотя давно это было, мог забыть уже.
это я к чему - а как выглядит строчная синхра на осциллографе? возможно дело не столько в частоте сколько в длительности импульсов?

SaTaN5076
01.02.2023, 14:43
а как выглядит строчная синхра на осциллографе? возможно дело не столько в частоте сколько в длительности импульсов?

Прямоугольные импульсы..не меандр..Буду на работе - сниму все параметры синхроимпульсов...

solegstar
01.02.2023, 14:48
Прямоугольные импульсы..не меандр..Буду на работе - сниму все параметры синхроимпульсов...

полярность импульсов тоже покажите.

SaTaN5076
01.02.2023, 15:05
полярность импульсов тоже покажите.

Полярность положительная. И у кадровых и у строчных.

SaTaN5076
04.02.2023, 04:31
получится глянуть для ССИ значение после запятой до третьего знака (в идеале в Гц измерять)? также осцилографом посмотрите частоту на 9 ноге D9 74LS174.

Итак. Добрался до работы.

Большие символы:
ССИ: полярность - положительная, длительность импульса - 15,000 мкс, частота - 15.684 кГц
КСИ: полярность - положительная, длительность импульса - 1,020 мс, частота - 54.466 Гц
D9.9: полярность - положительная, длительность импульса - 1,868 мкс, частота - 266,809 кГц

На разъеме на монитор присутствует ещё сигнал DOTClock. полярность - положительная, длительность импульса - 44,960 мкс, частота - 15,674 кГц

Маленькие символы:
ССИ: полярность - положительная, длительность импульса - 17,440 мкс, частота - 15.684 кГц
КСИ: полярность - положительная, длительность импульса - 1,020 мс, частота - 54.466 Гц
D9.9: полярность - положительная, длительность импульса - 620 нс, частота - 798,722 кГц

DOTClock. полярность - положительная, длительность импульса - 41,200 мкс, частота - 15,684 кГц


Увидел, что частота ССИ совпадает с ДОТклок, попробовал зацепить горизонтальную синхру на неё. Результат под спойлером. Первая картинка - установлен JP7, вторая - JP2 и JP6.

https://fanuc6.ru/uploads/shared/jp7.jpg
https://fanuc6.ru/uploads/shared/jp26.jpg

solegstar
04.02.2023, 11:53
SaTaN5076, я попробую сделать прошивку, но надо будет пробовать без режима 60 Гц сначала, т.е. без установленной последней перемычки, и с установленными перемычками инверсии SSI и KSI.

По значениям строчной и кадровой можно сделать вывод, что строк в кадре 288. Но меня смущает частота на 9 ноге D9, если посчитать, что она пиксельклок, то при больших буквах всего 11 точек в строке, а при маленьких 50. Что явно не правильно. Может осцил меряет не правильно из-за провалов сигнала в определенный момент? Можете сфотографировать осциллограмму на 9 ноге D9 для обоих режимов или сохранить как скриншот на юсб флешку? Там на осциллографе можно так сделать.

Если принять за пиксельклок 8МГц, то судя по строчной, если она синхронна с ним, получается 510 точек в строке, что уже ближе к теме. Разрешение получается 510х288.

А не пробовали подключать к обычному телику?

SaTaN5076
04.02.2023, 12:51
SaTaN5076, я попробую сделать прошивку, но надо будет пробовать без режима 60 Гц сначала, т.е. без установленной последней перемычки, и с установленными перемычками инверсии SSI и KSI.

По значениям строчной и кадровой можно сделать вывод, что строк в кадре 288. Но меня смущает частота на 9 ноге D9, если посчитать, что она пиксельклок, то при больших буквах всего 11 точек в строке, а при маленьких 50. Что явно не правильно. Может осцил меряет не правильно из-за провалов сигнала в определенный момент? Можете сфотографировать осциллограмму на 9 ноге D9 для обоих режимов или сохранить как скриншот на юсб флешку? Там на осциллографе можно так сделать.

Знаю, что можно, но мелкой флешки нет с собой. А крупные вешают его вглушняк при попытке записи. Поэтому фото..Первая - при мелких символах, вторая - при больших.
https://pic.maxiol.com/images2/1675503229.533938201.fs.jpg
https://pic.maxiol.com/images2/1675503237.533938201.fb.jpg


А не пробовали подключать к обычному телику?

Не, телека нету. Да и в телек нужно будет отдельно пихать синхры...Это его тоже разбирать надо..

>>>> Если принять за пиксельклок 8МГц, то судя по строчной, если она синхронна с ним, получается 510 точек в строке, что уже ближе к теме. Разрешение получается 510х288.

Что-то в третий раз цитирование не работает...Информация, которая может быть полезной - в режиме отображения мелких символов разрешение экрана 32х15 символов. Каждый символ - 7х9 точек, плюс линии сбоку и сверху, плюс линии между строками. В мануале к тест-системе указано 3 режима отображения. Обычный режим (мелкие символы), режим удвоенного размера и режим утроенного размена. По факту система удвоенный размер не использует. Использует обычный и утроенный. И ещё, если поможет - знакогенератор по ссылке ниже..

https://fanuc6.ru/uploads/Content/Fanuc/Video/D481.bin

solegstar
04.02.2023, 13:46
SaTaN5076, Спасибо, почитаю.

solegstar
04.02.2023, 20:04
Не, телека нету. Да и в телек нужно будет отдельно пихать синхры...Это его тоже разбирать надо..
если надо, могу нарисовать, как сделать синхросмесь. там одна лп5 нужна. один элемент для инвертора, второй для смеси инвертированной кадровой со строчной. ну и подмешать цвета через резисторы к синхросмеси. по идее должно показывать чб на тв.


Информация, которая может быть полезной - в режиме отображения мелких символов разрешение экрана 32х15 символов. Каждый символ - 7х9 точек, плюс линии сбоку и сверху, плюс линии между строками.
здесь для конвертера важен именно полный кадр изображения - то есть видимая область, бланки и врезки импульсов строк и кадров. по видимой области пока ясно, она попадает в предполагаемые размеры, а дальше будем смотреть. по кол-ву строк в кадре я написал, по кол-ву пикселей в строке будем экспериментировать. есть ли какая-то "тестовая таблица" для проверки всей видимой области экрана? я пока буду ориентироваться на 8МГц клок и 510-511 полных точек в строке кадра.

PS: возможно стоит или отделить тему от основной, или перейти в личку. модераторы посмотрите, как будет лучше. случай интересный, но к спектруму отношения не имеет. хотя прошивка для девайса под спектрум.

Rio444
04.02.2023, 22:33
или перейти в личкуВ личку точно не надо. Случай действительно интересный.

SaTaN5076
05.02.2023, 05:35
если надо, могу нарисовать, как сделать синхросмесь. там одна лп5 нужна. один элемент для инвертора, второй для смеси инвертированной кадровой со строчной. ну и подмешать цвета через резисторы к синхросмеси. по идее должно показывать чб на тв.

Разве что для интереса попробовать. Телека нет, есть китайский адаптер композит-ВГА. ЧБ)))) эта штука в принципе цвета не имеет.....это я просто на зеленый вход подаю сигнал, чтобы как в оригинальном зелёном мониторе было...

- - - Добавлено - - -


Случай действительно интересный.

У меня много подобных "интересных случаев".. Я вам писал как-то по поводу адаптации вашей платы..Но к той установке пока не попал для снятия параметров видеосигнала..

Вопрос ко всем:
А нельзя ли сделать прошивку, где можно как-то указать константами вот эти все параметры развёртки? Есть куча нестандартного железа, каждый производитель считал за честь свои параметры использовать....

Rio444
05.02.2023, 11:26
Я вам писал как-то по поводу адаптации вашей платы.Помню. Простите, не узнал))) Допиливаю понемногу. Надеюсь в этом месяце закончу.


А нельзя ли сделать прошивку, где можно как-то указать константами вот эти все параметры развёртки? Есть куча нестандартного железа, каждый производитель считал за честь свои параметры использовать....Можно конечно. Но "есть нюанс". Сигнал надо не только захватить, но и подобрать подходящий выходной видеорежим, возможно с удвоением, или даже утроением строк.
Например, сигнал EGA 640x350 как захватывается, так же, в том же разрешении и выводится. Потому что есть точно такой же режим VGA 640х350 (его и придумали для совместимости с EGA).
Монохром захватывается в 720х350, выводится в 720х400 (нет такого режима VGA 720х350). Просто сверху и снизу остаются небольшие поля. Но это не особо портит картинку.
EGA и CGA 640x200 выводятся в 640х400 или 640х480 (так же поля сверху и снизу). Каждая строка при выводе удваивается.
В этом же режиме удалось захватить сигнал со спека https://zx-pk.ru/threads/34160-remont-zx-spectrum-leningrad.html?p=1168646&viewfull=1#post1168646

Резюмируя - недостаточно только задать входной сигнал, нужно ещё и подбирать подходящий выходной, причем вероятно с преобразованиями.
Можно конечно выбрать один вариант выхода, с запасом, например 800х600. И мириться с нарушением пропорций и полями сверху и снизу. В Вашем случае, разрешение 510х288 будет выводиться как 510х576. Будет вытянуто по вертикали, с большими полями слева и справа. Хотя оригинальный монитор тоже почти квадратный.

solegstar
05.02.2023, 15:09
Разве что для интереса попробовать. Телека нет, есть китайский адаптер композит-ВГА.
попробуйте. интересно, что покажет меню адаптера или на вга.

Вобщем пока можно попробовать вот эту прошивку. (https://drive.google.com/file/d/16RyhK_U5vwdLAIe28POZd6-DNcz2NU8A/view?usp=sharing) И далее я буду обновлять файл по этой ссылке при экспериментах.

Перемычки: JP5, JP6 должны быть сняты, инверсия для кадрового (JP2) и строчного (JP3) импульсов должны быть установлены.

SaTaN5076
05.02.2023, 16:03
попробуйте. интересно, что покажет меню адаптера или на вга.

Вобщем пока можно попробовать вот эту прошивку. (https://drive.google.com/file/d/16RyhK_U5vwdLAIe28POZd6-DNcz2NU8A/view?usp=sharing) И далее я буду обновлять файл по этой ссылке при экспериментах.

Перемычки: JP5, JP6 должны быть сняты, инверсия для кадрового (JP2) и строчного (JP3) импульсов должны быть установлены.

Так, ну результат уже есть! Надо только всю картинку целиком вниз опустить..и на фоне постоянно мелькают артефакты...
https://pic.maxiol.com/images2/1675602051.533938201.nb.jpg
https://pic.maxiol.com/images2/1675602056.533938201.ns.jpg

solegstar
05.02.2023, 16:38
Так, ну результат уже есть! Надо только всю картинку целиком вниз опустить..и на фоне постоянно мелькают артефакты...
с артефактами пока вопрос открыт, откуда вы снимаете сигнал на вга-кодера - синхронизации и пиксели? прошивку обновил, попробуйте. посмотрите, куда ушло изображение - вверх или вниз.

- - - Добавлено - - -

и еще вопрос - по точкам и видимому изображению - есть ли тестовый экран или как-то можно заполнить экран символами, чтобы увидеть все знакоместа на кадре?

sagent
05.02.2023, 21:38
Удалось подключить Дельту-С на 74 микросхемах.
1 - GND брал с бипера
2 - +5 с разъёма питания
3 - 14Мгц напрямую с кварца
4 - KSI с микросхемы D18 561ЛА7 нога 3
5 - SSI с микросхемы D18 561ЛА7 нога 10
6 - G с микросхемы D53 КР1533КП2 нога 7
7 - I с микросхемы D53 КР1533КП2 нога 9
8 - B с микросхемы D60 КР1533КП2 нога 7
9 - R с микросхемы D60 КР1533КП2 нога 9

Перемычку JP2 (инверсия KSI) замкнуть.

Chip_SET
05.02.2023, 22:52
sagent, ну все так же как у меня подключено (за исключением KSI и SSI вы взяли с выхода D18 я с входа D14) . У меня тоже не сразу заработало, паялся снизу платы и попутал ноги. Пока осциллограф не взял не увидел, тыкался 2 дня сидел. Перемычка на плате включена средняя. Какая прошивка без понятия.

SaTaN5076
06.02.2023, 04:37
с артефактами пока вопрос открыт, откуда вы снимаете сигнал на вга-кодера - синхронизации и пиксели? прошивку обновил, попробуйте. посмотрите, куда ушло изображение - вверх или вниз.

Внешне вообще ничего не изменилось. Сигнал синхры и видеосигнала снимаю непосредственно с разъёма на монитор. Клок 8МГц с контрольной точки /CLKC на плате.



и еще вопрос - по точкам и видимому изображению - есть ли тестовый экран или как-то можно заполнить экран символами, чтобы увидеть все знакоместа на кадре?

Пока что такой возможности нет. Я достал редчайший тестовый комплекс, но на нём отсутствуют ПЗУ. Прошивки у меня есть, но зашить пока некуда. Нужно аж 10 штук 2732. 2764 куча, 2716 есть, а вот с 2732 проблема. Скорее всего, в ближайшее время сделаю маленькую платку под более ёмкие ПЗУ и воткну её вместо 2732. В этом комплексе есть тесты дисплея.

Вот такая картинка в мануале нашлась:
https://pic.maxiol.com/images2/1675648378.533938201.crt.jpg

solegstar
06.02.2023, 10:39
Внешне вообще ничего не изменилось.
архив с вчерашней датой и временем создания 16.00?

Сигнал синхры и видеосигнала снимаю непосредственно с разъёма на монитор. Клок 8МГц с контрольной точки /CLKC на плате.
я так понимаю, что сигнал VIDEO вы подаете на зеленый цвет?

Вот такая картинка в мануале нашлась:
интересно. 64мкс соответствует частоте 15625Гц (стандартной для телевизора), еще, тут показано, что кадровый импульс и строчный импульс вместе не активны. вы можете снять одновременно два сигнала? строчную и кадровую и показать на фото, как они расположены? также желательно заснять кадровую+видео и строчную+видео. я пока подумаю дальше над прошивкой. по поводу перемычек - вы выставили так, как я написал, или по другому?

- - - Добавлено - - -

да, последнюю перемычку JP7 тоже нужно надеть.

SaTaN5076
06.02.2023, 10:49
архив с вчерашней датой и временем создания 16.00?

Да, верно. Я проверил сразу же, что файл другой - квартус контрольную сумму другую показал.


я так понимаю, что сигнал VIDEO вы подаете на зеленый цвет?

да, так и есть. Только инвертирую его, уже на борту vga&pal ибо сигнал отрицательной полярности..


интересно. 64мкс соответствует частоте 15625Гц (стандартной для телевизора), еще, тут показано, что кадровый импульс и строчный импульс вместе не активны. вы можете снять одновременно два сигнала? строчную и кадровую и показать на фото, как они расположены? также желательно заснять кадровую+видео и строчную+видео. я пока подумаю дальше над прошивкой. по поводу перемычек - вы выставили так, как я написал, или по другому?

На скринах перемычки так, как вы указали. Но пробовал я со всеми вариантами.

Кадровая+строчная:
https://pic.maxiol.com/images2/1675669440.533938201.ks1.jpg
https://pic.maxiol.com/images2/1675669449.533938201.ks2.jpg

Кадровая+видео:
https://pic.maxiol.com/images2/1675669497.533938201.kv1.jpg
https://pic.maxiol.com/images2/1675669503.533938201.kv2.jpg

Строчная+видео:
https://pic.maxiol.com/images2/1675669548.533938201.sv1.jpg
https://pic.maxiol.com/images2/1675669554.533938201.sv2.jpg

- - - Добавлено - - -

Кадровая+строчная: (форум глючит, в тексте сверху спойлер есть, по факту не показывает, пришлось ещё раз добавить)
https://pic.maxiol.com/images2/1675669440.533938201.ks1.jpg
https://pic.maxiol.com/images2/1675669449.533938201.ks2.jpg

solegstar
06.02.2023, 11:01
На скринах перемычки так, как вы указали. Но пробовал я со всеми вариантами.
а с установленой JP7, что на экране?

- - - Добавлено - - -


Кадровая+строчная:
да, посмотрел осцилограммы, вроде всё ок.

- - - Добавлено - - -


Внешне вообще ничего не изменилось.
это потому-что я менял часть для 50 гц, а включена была 60гц. я перепутал как работает перемычка JP7. она должна быть надета для 50гц, а я почему считал, что наоборот. будем пробовать теперь с замкнутой перемычкой JP7.

SaTaN5076
06.02.2023, 11:02
а с установленой JP7, что на экране?

Вот такая картинка. Чёткая, без артефактов на фоне.
https://pic.maxiol.com/images2/1675670493.533938201.jp7s.jpg
https://pic.maxiol.com/images2/1675670499.533938201.jp7b.jpg

solegstar
06.02.2023, 11:10
Вот такая картинка. Чёткая, без артефактов на фоне.
по вертикали всё нормально или нужно поднять выше изображение?

сейчас обновил прошивку, по идее изображение должно сдвинуться влево по горизонтали.

пока будем заниматься изображением с установленной JP7.

SaTaN5076
06.02.2023, 11:17
по вертикали всё нормально или нужно поднять выше изображение?

Если стремиться к идеалу, то немного поднять можно.


сейчас обновил прошивку, по идее изображение должно сдвинуться влево по горизонтали.

Сдвинулось.
https://pic.maxiol.com/images2/1675671380.533938201.ls.jpg
https://pic.maxiol.com/images2/1675671387.533938201.lb.jpg

solegstar
06.02.2023, 11:38
Если стремиться к идеалу, то немного поднять можно.
посмотрите, что получилось.

и еще - посмотрите 8 и 9 выводы С11, а также посмотрите выводы С12. скорее всего изображение вот в таком виде из-за того, что нет нормальной синхронизации с пиксельклоком. частота 8мгц возможно должна браться из другого места, и возможно это не 8мгц. я еще поизучаю схему адаптера.

- - - Добавлено - - -


Клок 8МГц с контрольной точки /CLKC на плате.
да, судя по схеме это правильный сигнал, но на схеме показано, что контрольная точка не инверсная. впринципе это не так важно сейчас.

Rio444
06.02.2023, 11:47
Посмотрел бы ещё Dot Clock совместно с видеосигналом.
Кадровая и строчная действительно выглядят очень хорошо.

SaTaN5076
06.02.2023, 12:02
посмотрите, что получилось.

Посмотрел. Теперь картинка вверх съехала. Самый верх первой строчки прям к краю монитора жмётся. Но это легко правится настройками монитора. Пока можно так оставить. При каждом нажатии кнопки Auto на мониторе картинка может сдвинуться вверх-вниз.


и еще - посмотрите 8 и 9 выводы С11, а также посмотрите выводы С12. скорее всего изображение вот в таком виде из-за того, что нет нормальной синхронизации с пиксельклоком. частота 8мгц возможно должна браться из другого места, и возможно это не 8мгц. я еще поизучаю схему адаптера.

на С11 ок. 800КГц. при маленьких символах..У С12 на выходе ноль, на 7 выводе клок, на 15 импульсы 800КГц, инверсные. у D9 на 12 и 13 тоже по нолям.

- - - Добавлено - - -


на схеме показано, что контрольная точка не инверсная. впринципе это не так важно сейчас.

Я пробовал и с инверсией, незначительные сдвиги по горизонтали. по-сути, ни на что не влияет. На плате около контрольной точки надпись со звёздочкой.

- - - Добавлено - - -


Посмотрел бы ещё Dot Clock совместно с видеосигналом.
Кадровая и строчная действительно выглядят очень хорошо.

Посмотрел бы ещё Dot Clock совместно с видеосигналом.
Кадровая и строчная действительно выглядят очень хорошо.

DTC+Video:
https://pic.maxiol.com/images2/1675674097.533938201.dtcv.jpg

Rio444
06.02.2023, 12:07
DTC+Video:Нужен масштаб покрупнее. Чтобы было видно каждый импульс DTC и соответствующие импульсы видео.

И не совсем понятно, в отмеченном месте импульсы DTC пропадают? Постоянно высокий уровень?
https://pic.maxiol.com/images2/1675674573.2966692641.167567409753393820.jpg

SaTaN5076
06.02.2023, 12:12
Нужен масштаб покрупнее. Чтобы было видно каждый импульс DTC и соответствующие импульсы видео.

Эм. На данной картинке сверху 4 импульса дотклок..даже если я увеличу масштаб, красивой картинки не будет...Может, мы о разных дотклоках говорим? То, что у этой платы подписано дотклок - по частоте равно строчной развёртке..

https://pic.maxiol.com/images2/1675674685.533938201.dtc2.jpg

- - - Добавлено - - -


И не совсем понятно, в отмеченном месте импульсы DTC пропадают? Постоянно высокий уровень?

это один единственный импульс

вам снять CLKC+Video?

Лучше конкретный пин укажите...что-то не догоняю..

Rio444
06.02.2023, 12:14
То, что у этой платы подписано дотклок - по частоте равно строчной развёртке..Тогда откуда берётся пиксель клок для платы XZKit?


вам снять CLKC+Video?Да!

SaTaN5076
06.02.2023, 12:22
Тогда откуда берётся пиксель клок для платы XZKit?

Да!

CLKC+Video..осциллографу уже плохеет..хотя написано 100МГц...
https://pic.maxiol.com/images2/1675675188.533938201.clkcv.jpg

- - - Добавлено - - -


Что Вы подаёте на 9-й контакт, куда со спека должны идти 14 МГц с кварца?

Дада.. это CLKC.

solegstar
06.02.2023, 12:24
Сдвинулось
а можно посмотреть, как именно это изображение выглядит на оригинальном мониторе, или его нет в наличии?

SaTaN5076
06.02.2023, 12:30
а можно посмотреть, как именно это изображение выглядит на оригинальном мониторе, или его нет в наличии?

Вот так как-то..Но это старое фото, сейчас сложно родной монитор подключить..
https://pic.maxiol.com/images2/1675675789.533938201.img20190127134341.jpg

solegstar
06.02.2023, 12:32
Теперь картинка вверх съехала.
чуть опустил и попробовал решить вопрос с точками. что-то изменилось?

SaTaN5076
06.02.2023, 12:38
чуть опустил и попробовал решить вопрос с точками. что-то изменилось?

Всё пропало. Монитор пишет "Вне диапазона 31.3 КГц/ 31Гц"

Со снятым JP7 картинка быстро-быстро движется сверху вниз, практически неразличимо.

solegstar
06.02.2023, 12:47
Всё пропало. Монитор пишет "Вне диапазона 31.3 КГц/ 31Гц"
а сейчас?

- - - Добавлено - - -

SaTaN5076, у вас собран узел для удвоения частоты на HCT04?

- - - Добавлено - - -

сейчас складывается впечатление, что пиксельклок должен быть в два раза выше.

SaTaN5076
06.02.2023, 12:48
а сейчас?

Сейчас так. Без изменений..
https://pic.maxiol.com/images2/1675676710.533938201.l1.jpg



SaTaN5076, у вас собран узел для удвоения частоты на HCT04?

Нет. У этой микрухи 2 инвертора используется штатно для задержки F14MHz, остальные соединены друг с другом и не используются. Один я отрезал от соседнего и им инвертирую видеосигнал. Или вы про эту задержку и говорите?
https://pic.maxiol.com/images2/1675676998.533938201.brd.jpg
https://pic.maxiol.com/images2/1675676998.533938201.brd.jpg

solegstar
06.02.2023, 13:01
У этой микрухи 2 инвертора используется штатно для задержки F14MHz
да, я о ней. попробовал переделать прошивку под удвоенный клок. попробуйте.

SaTaN5076
06.02.2023, 13:05
да, я о ней. попробовал переделать прошивку под удвоенный клок. попробуйте.

Теперь вот такой мусор..
https://pic.maxiol.com/images2/1675677879.533938201.art.jpg

solegstar
06.02.2023, 13:14
SaTaN5076, ладно, верну как было и пока надо подумать, что еще может быть.

Serg6845
06.02.2023, 15:21
Сейчас так. Без изменений..


мне так кажется что было бы неплохо приводить фотки одного и того же экрана с родного монитора и с платы vga.
потому как по сравнению с этим
https://pic.maxiol.com/images2/1675217710.1522300809.img20190127132806.jpg
впечатление такое что каждая вторая строка текста не на своем месте...

SaTaN5076
06.02.2023, 15:52
мне так кажется что было бы неплохо приводить фотки одного и того же экрана с родного монитора и с платы vga.
потому как по сравнению с этим
https://pic.maxiol.com/images2/1675217710.1522300809.img20190127132806.jpg
впечатление такое что каждая вторая строка текста не на своем месте...

На этом фото между строк нет пустых строк, а на тех, что для проверки - есть. Картинка по геометрии сейчас более-менее. только по точкам искажения.

Родной монитор огромен по размеру и сейчас просто нет возможности его поставить рядом. Через 3 дня подумаю, как это сделать, сейчас ухожу на 3 выходных.

solegstar
06.02.2023, 16:45
мне так кажется что было бы неплохо приводить фотки одного и того же экрана с родного монитора и с платы vga.
смотри фотку тут - https://zx-pk.ru/threads/10548-zxkit1-plata-vga-amp-pal.html?p=1172302&viewfull=1#post1172302
и тут - https://zx-pk.ru/threads/10548-zxkit1-plata-vga-amp-pal.html?p=1172319&viewfull=1#post1172319
по строкам всё совпадает, но размазано по пикселям, и пока не ясно почему.

Rio444
06.02.2023, 17:05
по строкам всё совпадает, но размазано по пикселям, и пока не ясно почему.
Нет ощущения что одна строка два раза захватывается, и потом два раза выводится?

solegstar
06.02.2023, 18:32
Нет ощущения что одна строка два раза захватывается, и потом два раза выводится?

я думаю это связано с тем, что очень длинные импульсы у строчной развертки - по осциллографу получается, что длина 144! такта при 8МГц частоте. поставил столько в прошивке, было меньше и возможно из-за этого был лишний захват точек. также, по осциллографу кадровый импульс получается длиной в 16 строк. это тоже сделал. теперь надо проверить что на экране.

Arseny
08.02.2023, 22:23
Попробовал прошивку для УКНЦ от solegstar предложенную тут (https://zx-pk.ru/threads/10548-zxkit1-plata-vga-amp-pal.html?p=1081061&viewfull=1#post1081061). Работает. Из проблем:
1. Изображение (при автонастройке) поднимается выше середины экрана, поэтому: сверху черная полоска узкая, а снизу широкая (и на ней слека мерцает мусор).
2. по правому краю бегут (или бежит) черная полоска в один-два пикселя толщиной и шириной где-то в пятую часть экрана. Единовременно их на экране 3-4 штуки "скачет".
3. мерцание фона еще присутствует.
Надо бы на другом мониторе (сейчас Samsung S20C300L) попробовать.

solegstar
08.02.2023, 23:38
Попробовал прошивку для УКНЦ от solegstar предложенную тут. Работает. Из проблем:
было бы хорошо, если бы приложили фотки экрана с проблемами. я укнц не видел в живую, поэтому тяжело представить)

Arseny
09.02.2023, 00:28
было бы хорошо, если бы приложили фотки экрана с проблемами.
Вот (https://disk.yandex.ru/d/-VHwIEg2n1_qBA) немножко фото-видео. Мерцание фона пока снять не смог...

Arseny
09.02.2023, 23:32
Про черные полоски и tnt23 пишет (https://zx-pk.ru/threads/10548-zxkit1-plata-vga-amp-pal.html?p=353106&viewfull=1#post353106).

SaTaN5076
10.02.2023, 04:40
теперь надо проверить что на экране.

Залил последнюю прошивку, монитор даже из спящего режима не выходит...

Arseny
10.02.2023, 19:34
Попробовал другой монитор. С другим проводом.
Мусора ниже изображения нет и мерцание фона на картинке пропало. Бегущие полоски остались.
https://pic.maxiol.com/thumbs2/1676046585.1582019151.img20230210192109.jpg (https://pic.maxiol.com/?v=1676046585.1582019151.img20230210192109.jpg&dp=2)

solegstar
10.02.2023, 23:13
Залил последнюю прошивку, монитор даже из спящего режима не выходит...
Сегодня, к сожалению, не получилось оперативно править прошивку. Я верну завтра назад, как было и прикину, что может быть еще.

HardWareMan
11.02.2023, 07:15
Попробовал другой монитор. С другим проводом.
Мусора ниже изображения нет и мерцание фона на картинке пропало. Бегущие полоски остались.
https://pic.maxiol.com/thumbs2/1676046585.1582019151.img20230210192109.jpg (https://pic.maxiol.com/?v=1676046585.1582019151.img20230210192109.jpg&dp=2)

Такое лучше в динамике показывать.

solegstar
11.02.2023, 11:31
Такое лучше в динамике показывать.
вот тут есть видео (https://disk.yandex.ru/d/-VHwIEg2n1_qBA) с эффектом бегающей полосы.

- - - Добавлено - - -


Вот такая картинка в мануале нашлась:
Решил отталкиваться от мануала. 64мкс - это частота 15625 Гц, стандартная для телевизора. вернул назад значения в 512 точек, как для компьютера Специалист, так как у него тоже кварц 8Мгц. Изменил только значение для кол-ва строк. было под 312, поставил, судя по осцилу и расчетам 287. прошивку залил.

может ли быть такое, что цифровой осциллограф врет частоту? может есть частотомер?

SaTaN5076
11.02.2023, 13:10
Решил отталкиваться от мануала. 64мкс - это частота 15625 Гц, стандартная для телевизора. вернул назад значения в 512 точек, как для компьютера Специалист, так как у него тоже кварц 8Мгц. Изменил только значение для кол-ва строк. было под 312, поставил, судя по осцилу и расчетам 287. прошивку залил.

может ли быть такое, что цифровой осциллограф врет частоту? может есть частотомер?


Вот результат. Геометрия норм, точки нет..Частотомера нет, но не думаю, что осцилл врет. не первый год с ним, да и 8МГц тут он ровно меряет..
https://pic.maxiol.com/images2/1676110111.533938201.last.jpg

solegstar
11.02.2023, 13:23
SaTaN5076, попробуйте всё-таки подключить к адаптеру тв-вга, а если будет телек, который может дать информацию об развертке станка, то будет совсем хорошо.

вот схема для чб видеовыхода (https://drive.google.com/file/d/18IeOcbnf_spEjZ93rGGAjLNDY9218PRy/view?usp=sharing) в композитный вход телека.

SaTaN5076
11.02.2023, 13:57
SaTaN5076, попробуйте всё-таки подключить к адаптеру тв-вга, а если будет телек, который может дать информацию об развертке станка, то будет совсем хорошо.

вот схема для чб видеовыхода (https://drive.google.com/file/d/18IeOcbnf_spEjZ93rGGAjLNDY9218PRy/view?usp=sharing) в композитный вход телека.

Ну..картинка есть, но адски скачет по экрану..(1533 нету, есть только 155)
https://pic.maxiol.com/images2/1676112925.533938201.cnv2.jpg
https://pic.maxiol.com/images2/1676112917.533938201.cnv1.jpg

Rio444
11.02.2023, 14:06
SaTaN5076, простите, если глупость посоветую.
Подобное может быть при нестабильном сигнале строчной, в случае спонтанных всплесков, там, где их не должно быть.
Попробуйте подключить строчную через простейший RC-фильтр. Через резистор 100...500 Ом, после которого на землю конденсатор ~100 пФ.
Значения могут быть очень приблизительными, тут главное понять, будет ли вообще разница. Можно сначала даже без резистора, только конденсатор. Если это как-то повлияет на изображение, тогда уже с резистором.

SaTaN5076
11.02.2023, 14:20
SaTaN5076, простите, если глупость посоветую.
Подобное может быть при нестабильном сигнале строчной, в случае спонтанных всплесков, там, где их не должно быть.
Попробуйте подключить строчную через простейший RC-фильтр. Через резистор 100...500 Ом, после которого на землю конденсатор ~100 пФ.
Значения могут быть очень приблизительными, тут главное понять, будет ли вообще разница. Можно сначала даже без резистора, только конденсатор. Если это как-то повлияет на изображение, тогда уже с резистором.

Да, ощущение именно такое, что нужно немножко подкрутить ручку "частота строк"..Фильтр попробовал, эффекта почти нет - чуть меньше шума на фоне...

solegstar
11.02.2023, 14:35
Ну..картинка есть, но адски скачет по экрану..(1533 нету, есть только 155)
https://pic.maxiol.com/images2/1676112925.533938201.cnv2.jpg
https://pic.maxiol.com/images2/1676112917.533938201.cnv1.jpg
А можно как-то глянуть параметры на мониторе? Или адаптер может из osd что-то подсказать… и еще - может ли быть неисправен адаптер в станке?

- - - Добавлено - - -

SaTaN5076, и еще - проверялся ли адаптер станка с оригинальным монитором?

SaTaN5076
11.02.2023, 14:36
А можно как-то глянуть параметры на мониторе? Или адаптер может из osd что-то подсказать… и еще - может ли быть неисправен адаптер в станке?

Так монитор показывает картинку с конвертера..1280х1024х75, что он ещё покажет..А вот у конвертера нету входного OSD..Вернее, может и есть, но у него, вероятно, битая прошивка, она по меню между вкладками не переключается..

Адаптер от фанука точно исправен..и второй точно исправный я подкидывал - абсолютно одинаково показывают...

Про телевизор мысли..Конвертер то pal..а фанук - японское детище..а у них нтсц..играет в данном случае это роль? Частоты то разные..

solegstar
11.02.2023, 19:01
Вот результат. Геометрия норм, точки нет..Частотомера нет, но не думаю, что осцилл врет. не первый год с ним, да и 8МГц тут он ровно меряет..
https://pic.maxiol.com/images2/1676110111.533938201.last.jpg
тогда, вполне возможно, что где-то в схеме идет другой пиксельклок. возможно он делается по ксору или еще как-то в самом проце. я попробую сделать прошивку с пиксельклоком 16мгц заново, не такую, как была. сейчас, такое ощущение, что пиксельклок меньше, из-за чего вся строка не влазит и переносится дальше на изо.

SaTaN5076
11.02.2023, 19:08
тогда, вполне возможно, что где-то в схеме идет другой пиксельклок. возможно он делается по ксору или еще как-то в самом проце. я попробую сделать прошивку с пиксельклоком 16мгц заново, не такую, как была. сейчас, такое ощущение, что пиксельклок меньше, из-за чего вся строка не влазит и переносится дальше на изо.

Есть ещё одна мысль. Завтра до работы доберусь - проверю. На разъеме на монитор есть, скажем так, отключатель клока..родной монитор может отключать клок. посмотрю, что на этом выводе при подключении родного монитора...

Ну и из проца выходит свой дотклок...который на 800кГц или около того...

solegstar
11.02.2023, 19:36
Ну и из проца выходит свой дотклок...который на 800кГц или около того...
это что-то очень странное. это и не пиксельклок в нашем понимании, скорее для синхронизации выходов. он меньше, чем должен быть пиксельклок по значениям. пиксельклок определяет кол-во точек в строке изо, по нему формируется строчная и по ней кадровая.
допустим если пиксельклок 8мгц, то для строчной 15625Гц, получается 512 точек в строке. и если кадровая допустим 50гц, то 15625/50=312.5 строк в кадре для одного поля. всего получается 625 строк.
я попробую сделать из 16мгц пиксельклока для частоты 15625Гц выборку 1024 точки в строке и судя по кадровой 54.47Гц - это будет 287 строк для одного поля или 574 полных строк.
если изображение влезет полностью, то тогда явно пиксельклок должен быть другим.

SaTaN5076
12.02.2023, 04:35
это что-то очень странное. это и не пиксельклок в нашем понимании, скорее для синхронизации выходов. он меньше, чем должен быть пиксельклок по значениям. пиксельклок определяет кол-во точек в строке изо, по нему формируется строчная и по ней кадровая.
допустим если пиксельклок 8мгц, то для строчной 15625Гц, получается 512 точек в строке. и если кадровая допустим 50гц, то 15625/50=312.5 строк в кадре для одного поля. всего получается 625 строк.
я попробую сделать из 16мгц пиксельклока для частоты 15625Гц выборку 1024 точки в строке и судя по кадровой 54.47Гц - это будет 287 строк для одного поля или 574 полных строк.
если изображение влезет полностью, то тогда явно пиксельклок должен быть другим.

Добрался до работы, посмотрел. Несмотря на то, что на разъем для монитора выведен клок и есть 2 входа "выключателя" клока (3 страница схемы на плату), в самом мониторе этих сигналов нет. Странный DOTClk в мониторе так же отстутствует...
Схема на монитор - https://fanuc6.ru/uploads/Content/Fanuc/Video/A61C-0001-0072%20(9CRT%20Unit).pdf
Сорри за качество, надо искать получше..
Даташит на видеоконтроллер - https://fanuc6.ru/uploads/Content/Fanuc/Video/HD6845.pdf

Ну и подключил родной монитор, как и ожидалось, никаких проблем с картинкой:
https://pic.maxiol.com/images2/1676165700.533938201.disp.jpg

Serg6845
12.02.2023, 09:12
Ну..картинка есть, но адски скачет по экрану..(1533 нету, есть только 155)


вот схема для чб видеовыхода (https://drive.google.com/file/d/18IeOcbnf_spEjZ93rGGAjLNDY9218PRy/view?usp=sharing) в композитный вход телека.

в этой схеме с учетом того что КСИ положительные - надо 1 ногу ЛП5 пересадить с +5 на эемлю. хотя нет, не так - на 1 ногу ССИ, 5 ногу на +5.
ну и по общей теме - нет возможности зацепить плату за Спектрум? просто убедиться что с самой платой все ок. а то картинка выглядит как будто с адресами памяти беда. а тестовая прошивка насколько я знаю - память не использует -> толку от нее 0.

SaTaN5076
12.02.2023, 09:44
в этой схеме с учетом того что КСИ положительные - надо 1 ногу ЛП5 пересадить с +5 на эемлю. хотя нет, не так - на 1 ногу ССИ, 5 ногу на +5.

Сделал так - вообще картинки нет..



ну и по общей теме - нет возможности зацепить плату за Спектрум? просто убедиться что с самой платой все ок. а то картинка выглядит как будто с адресами памяти беда. а тестовая прошивка насколько я знаю - память не использует -> толку от нее 0.

Идея хороша, надо попробовать со Спектрумом.

solegstar
12.02.2023, 17:26
Serg6845, а если подумать? Оба синхроимпульса имеют положительную полярность. Подумайте над схемой, все там правильно.

- - - Добавлено - - -

SaTaN5076, буду дома, попробую переделать прошивку. Сейчас в разъездах.

Serg6845
12.02.2023, 21:04
Serg6845, а если подумать? Оба синхроимпульса имеют положительную полярность. Подумайте над схемой, все там правильно.


а если подумать - то оба варианта дают одинаковый результат. имеется в виду исходный и ССИ/КСИ на 1-2 ногу, +5 на 5 ногу.

solegstar
13.02.2023, 02:27
а если подумать - то оба варианта дают одинаковый результат. имеется в виду исходный и ССИ/КСИ на 1-2 ногу, +5 на 5 ногу.
Тогда к чему было вообще писать о неисправности схемы?

solegstar
17.02.2023, 14:06
как и ожидалось, никаких проблем с картинкой:
ок. я попробовал сделать прошивку под 16мгц. попробуйте, что получилось. сейчас в плис идет удвоение частоты, поэтому генератор всё также должен быть 8 МГц.

- - - Добавлено - - -

SaTaN5076, вобщем нашел страницу с таймингами видеопроца. они отличаются от измерений, сделанных осцилом.
вот ссылка на них. (https://drive.google.com/file/d/18Z7sIuo2lcM7H0cHynzQ9ZKRGOftdVHM/view?usp=sharing)
получается 15625 Гц строчная, 60.1Гц кадровая. пиксельклок 8 МГц, 512 точек в строке и 260 точек в одном поле, полный растр будет 512х520 точек. сделал под эти параметры, посмотрите что получилось.

- - - Добавлено - - -

также посмотрите частоты на входах D9.

- - - Добавлено - - -

возможно строчную и кадровую надо брать из другого места, до регистра. или переписать прошивку для плис. скорее всего на входах D9 будут правильные параметры.

- - - Добавлено - - -

и ссылка на архив (https://drive.google.com/file/d/18pK0di50j1bVmofAHgUZAflAJYBAP-Zm/view?usp=sharing) с альтернативной прошивкой, с удвоенным пиксельклоком.

SaTaN5076
18.02.2023, 06:53
ок. я попробовал сделать прошивку под 16мгц. попробуйте, что получилось. сейчас в плис идет удвоение частоты, поэтому генератор всё также должен быть 8 МГц.

Картинка стала просто огонь! Всё чётко, без артефактов. Осталось сдвинуть влево и чуть вниз.

https://pic.maxiol.com/images2/1676691942.533938201.g1.jpg
https://pic.maxiol.com/images2/1676691947.533938201.g2.jpg



SaTaN5076, вобщем нашел страницу с таймингами видеопроца. они отличаются от измерений, сделанных осцилом.

А вы даташит, что я выкладывал не смотрели?

Купил на авито кучу 2732, жду..буду запускать тестовую систему. Потом и тесты видео можно будет прогнать. Главная плата с проблемами, запуск основного цикла невозможен.

solegstar
18.02.2023, 11:56
А вы даташит, что я выкладывал не смотрели?
в даташите на видеопроц и нашел. практически в конце.

Картинка стала просто огонь! Всё чётко, без артефактов. Осталось сдвинуть влево и чуть вниз.
подскажите, вы шили прошивку из архива VGA_PAL_FANUC.zip или VGA_PAL_FANUC_alt.zip ?

SaTaN5076
18.02.2023, 11:58
подскажите, вы шили прошивку из архива VGA_PAL_FANUC.zip или VGA_PAL_FANUC_alt.zip ?

VGA_PAL_FANUC_alt.zip

solegstar
18.02.2023, 11:59
Один я отрезал от соседнего и им инвертирую видеосигнал.
я могу сделать в прошивке, чтобы он был уже инверсный, без соплей. если нужно конечно.

- - - Добавлено - - -


VGA_PAL_FANUC_alt.zip
а прошивка из обычного архива не заработала?

SaTaN5076
18.02.2023, 12:00
я могу сделать в прошивке, чтобы он был уже инверсный, без соплей. если нужно конечно.

Было бы неплохо..Все 3 сигнала инвертировать..



а прошивка из обычного архива не заработала?

А эту я даже не пробовал. Сейчас попробую.

solegstar
18.02.2023, 12:02
Было бы неплохо..
на плате есть перемычка, которая инвертирует цвета. если её поставить, то что будет?

SaTaN5076
18.02.2023, 12:04
на плате есть перемычка, которая инвертирует цвета. если её поставить, то что будет?

При сигнале только на G получается розовая картинка на белом фоне..Да можно ничего с этим не делать.

Проверил с обычной прошивкой - двоение точек..

solegstar
18.02.2023, 12:09
Проверил с обычной прошивкой - двоение точек..
ок. тогда поправлю альтернативную прошивку и сделаю инверсию для всех трех сигналов. перемычка, которая инвертирует цвета, сейчас установлена или нет?

SaTaN5076
18.02.2023, 12:12
ок. тогда поправлю альтернативную прошивку и сделаю инверсию для всех трех сигналов. перемычка, которая инвертирует цвета, сейчас установлена или нет?

Перемычка снята. я только G инвертировал..

solegstar
18.02.2023, 12:35
Перемычка снята. я только G инвертировал..
ок. понял. я как поправлю, напишу.

- - - Добавлено - - -

SaTaN5076, поправил управление инверсией кадровой, строчной и сигнала G. перемычки всё еще рабочие, но теперь они должны быть сняты. также подвинул синхроимпульс строк, по идее экран должен подвинуться влево. по вертикали пока не двигал. проверьте.

SaTaN5076
18.02.2023, 13:13
поправил управление инверсией кадровой, строчной и сигнала G. перемычки всё еще рабочие, но теперь они должны быть сняты. также подвинул синхроимпульс строк, по идее экран должен подвинуться влево. по вертикали пока не двигал. проверьте.

Все инверсии работают, влево сместилось, на фото после нажатия кнопки "Авто" на мониторе. по центру настройками монитора выставить можно. По вертикали не влезает одна строка текста, настройками монитора настроить нельзя.
https://pic.maxiol.com/images2/1676715080.533938201.g3.jpg

и я так понял, сигналы других цветов вы убрали?

solegstar
18.02.2023, 13:24
на фото после нажатия кнопки "Авто" на мониторе.
попробую еще подвинуть левее. и вертикалью займусь.

Все инверсии работают
инвертор убрали для video?

SaTaN5076
18.02.2023, 13:26
инвертор убрали для video?

Да, вернул плату в первоначальное состояние.

solegstar
18.02.2023, 13:57
Осталось сдвинуть влево и чуть вниз.
не увеличенное изображение по этой фотке это после автонастройки? или вы двигали изображение вниз?

- - - Добавлено - - -

SaTaN5076, подвинул еще чуть левее и по идее вниз. попробуйте.

SaTaN5076
18.02.2023, 14:02
не увеличенное изображение по этой фотке это после автонастройки? или вы двигали изображение вниз?

На фото после автонастройки.

- - - Добавлено - - -


подвинул еще чуть левее и по идее вниз. попробуйте.

Не вижу изменений..
https://pic.maxiol.com/images2/1676718102.533938201.g4.jpg

solegstar
18.02.2023, 14:12
Не вижу изменений..
последняя перемычка надета же?

SaTaN5076
18.02.2023, 14:17
последняя перемычка надета же?

Нет, все перемычки сняты сейчас. С надетой последней перемычкой картинки нет вообще, монитор в дежурный режим уходит..

solegstar
18.02.2023, 14:53
Нет, все перемычки сняты сейчас. С надетой последней перемычкой картинки нет вообще, монитор в дежурный режим уходит..
мы же договаривались, что будем работать пока с установленной последней перемычкой. прошивку вернул к состоянию до изменения положения по вертикали. установите перемычку и будем смотреть с ней. если совсем никак не заработает, то будем тогда разбираться с режимом без перемычки.

SaTaN5076
18.02.2023, 15:03
мы же договаривались, что будем работать пока с установленной последней перемычкой. прошивку вернул к состоянию до изменения положения по вертикали. установите перемычку и будем смотреть с ней. если совсем никак не заработает, то будем тогда разбираться с режимом без перемычки.

Извините. Вы как инверсию сделали, так я все перемычки снял..Вот такая картинка. 2 последние строки мигают. Монитор постоянно срывается в черный экран.

https://pic.maxiol.com/images2/1676721672.533938201.g5.jpg

solegstar
18.02.2023, 15:13
Вот такая картинка. 2 последние строки мигают.
проверьте сейчас.

- - - Добавлено - - -

и также покажите увеличенный режим

SaTaN5076
18.02.2023, 15:20
проверьте сейчас.

- - - Добавлено - - -

и также покажите увеличенный режим

https://pic.maxiol.com/images2/1676722663.533938201.g6s.jpg
https://pic.maxiol.com/images2/1676722669.533938201.g6b.jpg

Почти идеально. В режиме мелких букв отдельные артефактные точки в полнакала....на фото практически не видны..В центре "W" в слове software, например..

solegstar
18.02.2023, 15:23
В режиме мелких букв отдельные артефактные точки в полнакала....на фото практически не видны..
а получится видео снять? потом выложить куда-то на гуглдиск или яндекс.

SaTaN5076
18.02.2023, 15:29
а получится видео снять? потом выложить куда-то на гуглдиск или яндекс.

https://ru.files.fm/u/c3u3w3srd

solegstar
18.02.2023, 15:34
https://ru.files.fm/u/c3u3w3srd
с этим сложнее уже с установленной перемычкой, попробуйте теперь без последней перемычки. файл обновил.

SaTaN5076
18.02.2023, 15:40
с этим сложнее уже с установленной перемычкой, попробуйте теперь без последней перемычки. файл обновил.

Без перемычки картинки нет. Но вот с перемычкой вроде и без артефактов теперь показывает.

solegstar
18.02.2023, 15:47
Без перемычки картинки нет.
монитор не синхронизируется? или синхронизация есть но изо нет? если синхронизируется, то сколько кадров показывает в меню информации о разрешении?

SaTaN5076
18.02.2023, 15:55
монитор не синхронизируется? или синхронизация есть но изо нет? если синхронизируется, то сколько кадров показывает в меню информации о разрешении?

На грани срыва синхронизации, зайти в OSD меню не дает в таком состоянии..

solegstar
18.02.2023, 16:13
На грани срыва синхронизации, зайти в OSD меню не дает в таком состоянии..
попробуйте сейчас без перемычки, ну и если с перемычкой устраивает, можно дальше не экспериментировать. я бы попробовал сделать режим и без перемычки.

r3d
18.02.2023, 16:27
Не забываем, что CPLD можно прошить/стереть 100 раз.

SaTaN5076
18.02.2023, 17:35
попробуйте сейчас без перемычки, ну и если с перемычкой устраивает, можно дальше не экспериментировать. я бы попробовал сделать режим и без перемычки.

Сорри, теперь только через 3 дня, ушел на выходные.

- - - Добавлено - - -


Не забываем, что CPLD можно прошить/стереть 100 раз.

Да, я записываю.. 46 раз уже..

Зы. Делал rom плату для этого же фанука, 330 раз уже записал плисину.. И она все еще живая... Тоже max 5-вольтовый..

solegstar
18.02.2023, 17:44
Сорри, теперь только через 3 дня, ушел на выходные.
ну ок. я считаю, что результат отличный на сегодня. :)

SaTaN5076
18.02.2023, 18:14
ну ок. я считаю, что результат отличный на сегодня. :)

Более чем)

SaTaN5076
22.02.2023, 04:41
попробуйте сейчас без перемычки, ну и если с перемычкой устраивает, можно дальше не экспериментировать. я бы попробовал сделать режим и без перемычки.

Сейчас картинка практически одинаковая. Без перемычки только 1 ряд пикселей вверху за границей экрана после автонастройки монитора. Но ручной настройкой оба режима выводятся в центре и по вертикали и по горизонтали.

Маленькая просьба - у нас, получается, перемычки не используются больше, можете выходной сигнал распараллелить на выходы RGB и добавить лог. "И" с перемычками. Чтобы можно было цвет выхода перемычками выбрать..Ну, или по входу то же самое...

solegstar
22.02.2023, 13:12
Маленькая просьба - у нас, получается, перемычки не используются больше, можете выходной сигнал распараллелить на выходы RGB и добавить лог. "И" с перемычками. Чтобы можно было цвет выхода перемычками выбрать..Ну, или по входу то же самое...
ок. попробую.

- - - Добавлено - - -

SaTaN5076, попробуйте. первые три перемычки должны выбирать цвет. сделал по стандарту спектрума. 1 - B, 2 - R, 3 - G. 4 перемычка по идее должна регулировать повышенную яркость.

SaTaN5076
22.02.2023, 14:10
попробуйте. первые три перемычки должны выбирать цвет. сделал по стандарту спектрума. 1 - B, 2 - R, 3 - G. 4 перемычка по идее должна регулировать повышенную яркость.

Всё отлично! Спасибо вам огромное!
https://pic.maxiol.com/images2/1677064160.533938201.fl.jpg

Arseny
26.02.2023, 19:51
solegstar, а можно продолжить работы с прошивкой для УКНЦ (т.к. прошивку для SaTaN5076 вроде закончили)?

solegstar
27.02.2023, 11:54
solegstar, а можно продолжить работы с прошивкой для УКНЦ (т.к. прошивку для SaTaN5076 вроде закончили)?

можно. я прикину из-за чего может быть такой эффект на изо, но мне кажется это из-за бланков перед и после строчных синхроимпульсов. попробую переделать.

- - - Добавлено - - -

Arseny, как я понял, УКНЦ имеет следующие характеристики разверток - строчная 15625 Гц, кадровая 50 Гц, пиксельклок 12,5 МГц? если возможно, замеряйте их на реале. желательно в чистом виде, а не смешанные в синхросмеси.

- - - Добавлено - - -

пойду еще почитаю квест написания прошивки под УКНЦ заново, может что-то придумаю с меньшими изменениями оригинальной прошивки 2.0.9. судя по тому, что УКНЦ можно подключить к любому телевизору, то кадровая должна быть 50гц. посмотрел схемы и как я понял чистой кадровой и строчной нет на плате?

Arseny
27.02.2023, 21:14
Arseny, как я понял, УКНЦ имеет следующие характеристики разверток - строчная 15625 Гц, кадровая 50 Гц, пиксельклок 12,5 МГц?
Блин. Не берусь утверждать по памяти - попробую документацию глянуть.

если возможно, замеряйте их на реале. желательно в чистом виде, а не смешанные в синхросмеси.
Ок, постараюсь (надо только разобраться на чем из имеющегося это можно сделать). И да ...

посмотрел схемы и как я понял чистой кадровой и строчной нет на плате?
... раздельных кадровой и строчной нет не только на плате, но и в микросхеме генерирующей видеосигнал.

solegstar
27.02.2023, 23:27
Странно, что неполучилось сделать прошивку из исходников 2.0.9 под 50Гц, оно так всё хорошо подходит. Если Профи показывал, то и УКНЦ мог бы. Попробуем сначала добить то, что есть, но как по мне, всё таки можно сделать изо полностью, на весь экран.

Так или иначе получается полный кадр: 800x(312*2поля), то есть 800x624. Очень странно, что первые попытки не получились.

Arseny
27.02.2023, 23:36
Вот чего добился от PV6501.
Синхросмесь
https://pic.maxiol.com/thumbs2/1677529762.1582009264.sync.jpg (https://pic.maxiol.com/?v=1677529762.1582009264.sync.jpg&dp=2)
Пиксельклок
https://pic.maxiol.com/thumbs2/1677529823.1582009264..jpg (https://pic.maxiol.com/?v=1677529823.1582009264..jpg&dp=2)
Стабилизировать картинку не получилось (это на скриншотах она неподвижная) или я пока не разобрался что в программе где и нужно учиться.

Кстати, о мусоре в нижней части экрана:
https://pic.maxiol.com/thumbs2/1677530119.1582009264.img20230227221135.jpg (https://pic.maxiol.com/?v=1677530119.1582009264.img20230227221135.jpg&dp=2)
это в какой-то момент УКНЦ глюканула и картинка улетела вниз. А после рестарта УКНЦ внизу так и висел кусок картинки (т.е. эта часть буфера не очищается, получается).

Serg6845
28.02.2023, 00:23
Вот чего добился от PV6501.
Стабилизировать картинку не получилось (это на скриншотах она неподвижная) или я пока не разобрался что в программе где и нужно учиться.


думается мне надо включить синхронизацию по фронту (средняя кнопка в верхнем ряду) и поставить порог (зеленая стрелка с буквой Т справа от осциллограммы) на уровень примерно середины импульса, тогда должна и картинка остановиться и частоту посчитать правильно.

Arseny
28.02.2023, 18:10
Работы над прошивкой УКНЦ переезжают в профильную тему Подключение ZXKit-001 к УКНЦ (https://zx-pk.ru/threads/27696-podklyuchenie-zxkit-001-k-uknts/page3.html) (тут потом результат опубликуем).

kotvoen
02.03.2023, 20:28
Коллеги, всем доброго времени суток. Нужна помощь по Pentagon 128. Как у вас выглядят сигналы на осциллографе (для подключения на VGA). У меня есть подозрение что я что-то упустил :( Интересно R, G, B, I, KSI и SSI. Заранее благодарю за информацию!!!

1. GND <- точка входа общий питание
2. +5V <- точка входа питание +5В
3. R <- 9-D46
4. G <- 7-D47
5. B <- 7-D46
6. I <- 9-D47
7. KSI <- 2-D6
8. SSI <- 1-D6
9. F14MHZ <- 4-D1

Froggy
04.03.2023, 22:14
Подключил RGB-VGA к Пентагону 128(2014). Все ок, но есть 12 вертикальных полос, которые размазывают фокус символов (как бы двоение), через которые они проходят. Избавиться от них настройками монитора не удалось.

https://i.ibb.co/FbV2nzs/vga-bug-1.jpg (https://ibb.co/gMVN6Jv)

https://i.ibb.co/YBWKyXp/vga-bug-2.jpg (https://ibb.co/KxGQLDF)

Куда копать?

solegstar
05.03.2023, 11:43
Куда копать?
попробуйте замкнуть перемыку inverse f14, а также пустить тактовую частоту в экранированном проводе. и еще - пентагон надо использовать с замкнутой перемычкой jp7, в режиме 50гц. тогда скролы будут плавными, в режиме 60гц скроллы будет рвать.

Froggy
05.03.2023, 13:04
попробуйте замкнуть перемыку inverse f14,
Перемычки все попробовал. На этот дефект не влияет.

а также пустить тактовую частоту в экранированном проводе.
Для очистки совести попробую. Но чуйкой чую, что дело не в этом. Проводки от пента к адаптеру пробовал разводить в стороны, менял положение кабеля, собирал в пучок - монитор вообще не реагирует. Все стабильно. Длина проводов - 20 см. Такой кабель был в комплекте.

и еще - пентагон надо использовать с замкнутой перемычкой jp7, в режиме 50гц. тогда скролы будут плавными, в режиме 60гц скроллы будет рвать.
Я ничего такого не заметил, если что, то поставлю JP7, но сейчас это не главное.

RW9UAO
05.03.2023, 15:38
утащил ваш проект на spartan 3
заточил исключительно под свой "ленинград 2"
лишние ресурсы занял клавиатурой, кормлю данными по SPI от атмега сканирующей матрицу клавиш.

https://sun9-west.userapi.com/sun9-47/s/v1/ig2/yzTDALY3UrHyVE8DNjJ4hWezTmSh21HtHkCgm2X9Ayru0vqcDc 2c-kIWqwtAwG5Y3g5VuPTV_j0ZbWZifZ5CgaYp.jpg?size=1600x 777&quality=96&type=album

https://sun9-west.userapi.com/sun9-5/s/v1/ig2/yhZdVYokvnVofRxjxwh2D3Y8AJnfxabRdrj7nVmcN2o9WIQwfj lnjo74AfjzRG_oLmx9fbG70SCnLfl5y-uE9mtP.jpg?size=1600x777&quality=96&type=album

https://sun9-west.userapi.com/sun9-8/s/v1/ig2/DobyylRvJuCtD64o6vo9HhWIoPncschKvi-l5wzc0E6AdB2cmBfRN1SL28AHCmEBaJJF5U_W-f7IAxGOk0qJ1jMf.jpg?size=1600x777&quality=96&type=album

Froggy
06.03.2023, 18:29
попробуйте замкнуть перемыку inverse f14, а также пустить тактовую частоту в экранированном проводе.
Сегодня сделал экранированный провод. Вот так:

https://i.ibb.co/BLK9y89/image.jpg (https://ibb.co/BLK9y89)

Как я ожидал, ничего не поменялось. Совсем.
Подключил другой LCD монитор - LG1732S. VGA кабель тоже был другой.
Вертикальные артефакты никуда не делись. Только стали не такие размытые, как в Самсунге.

https://i.ibb.co/82Vd7CZ/lg-1.jpg (https://ibb.co/MpLGCv0)

https://i.ibb.co/0FDSc6P/lg-2.jpg (https://ibb.co/QCbRfTs)

Похоже, что глюк самого конвертера... Ну или прошивка не для Пентагона. Тут пишут, что лучше всего для Пентагона 1.02.

Rio444
06.03.2023, 18:54
Какое напряжение питания конвертера? Именно на чипах.
Ёмкостей по питанию достаточно?
Впечатление, что действительно конвертер где-то не успевает, или наоборот, слишком торопится. Самое простое - чуть поднять-опустить напряжение питания.

Froggy
06.03.2023, 19:46
Какое напряжение питания конвертера? Именно на чипах.
Ёмкостей по питанию достаточно?

На конденсаторе с16 - 3.304 вольта. Емкости все установлены. Посмотрел форум - нигде такого не нашел.
Везет мне с редкими глюкам :(

kotvoen
08.03.2023, 18:47
Все заработало на VGA :)

Froggy
08.03.2023, 20:06
Все заработало на VGA
Какую прошивку использовали? Какой вариант платы? Делали конструктор или покупали готовый?
Как подключали к Пентагону, особенно F14 - экранированный провод? Длина?
Я свой адаптер так и не победил. Бубен повесил на гвоздик, наверно закажу программатор.

Egal
09.03.2023, 16:17
Froggy, добрый день, не могу ответить на личное сообщение, просто почему-то нет возможности его набрать. Не помню какое двоение было, это ж когда было )) Но помню что непотребство полное было. И от монитора картинка тоже зависит.

Froggy
09.03.2023, 18:17
Froggy, добрый день, не могу ответить на личное сообщение, просто почему-то нет возможности его набрать. Не помню какое двоение было, это ж когда было )) Но помню что непотребство полное было. И от монитора картинка тоже зависит.
Спасибо. Я испробовал все, что мог. В том числе и другой монитор подключал. Еще попробую максимально укоротить f14, но сомневаюсь, что это поможет. У меня именно цифровой глюк. Версию прошивки я не узнал. Попробую прошить 1.02 - больше вариантов нет.

Egal
09.03.2023, 18:28
Попробую прошить 1.02
У меня был другой прикол. Я этот кодер подключал к GRM-1, а у него прям на плате есть гребёнка 1 в 1 под этот кодер.
Так вот когда я просто втыкивал плату в гребёнку, то вроде всё ок было. Только я сделал шлейф (неочень удачно гребёнка расположена, внизу платы, на VGA получается надо либо удлинитель делать, либо кабель VGA будет поперёк платы), см 20 наверное, может 15, так на экран стал сыпать мусор такой ввиде "снега" и тому подобных заворотов, как возбуда какая-то или помеха. Причём может работать нормально, а может по среди полного здоровья начать сыпать вот такими артефактами. Я так и не понял что это за шляпа, убрал шлейф пока.
Монитор Samsung 27" простой какой-то.

Froggy
09.03.2023, 18:39
Как вариант сделаю максимально короткий шлейф.
Питание беру максимально близко к входу блока питания на основную плату.

Egal
14.03.2023, 13:29
Питание
У меня источник импульсный, с Али, возможно с него какая-то помеха летит, честно говоря не знаю как проверить. На 7805 если только собрать БП.

Chip_SET
27.03.2023, 09:50
Я пробовал питать с минвеловского старого БП. Фигня полнейшая.

Mikka_A
27.03.2023, 10:10
Посмотрите мой любой стрим.
На них я вывожу все видео через палкодер, который мы тестировали и отлаживали с Зст лет хх назад.

Все мои спеки ( а их много ) подключены шлейфом сантиметров 20-30 из просто мгтф. НИКАКИХ помех от слова совсем.

Froggy
27.03.2023, 16:06
Я пробовал питать с минвеловского старого БП. Фигня полнейшая.
У меня минвеловский БП. Что с ним не так?

Mikka_A
27.03.2023, 16:29
Все норм
https://disk.yandex.ru/i/XP1cFOSZpcqDDQ


Там и Манвел видно.
И кодер от Zst
И шлейф к нему из мгтф длинной сантиметров 20....

Froggy
27.03.2023, 18:05
Там и Манвел видно.
И кодер от Zst
И шлейф к нему из мгтф длинной сантиметров 20....
Забавно увидеть рабочее место с другой стороны. На стримах оно по-другому выглядит :)
У вас манвел мощный. У меня - 25 Вт.
Подскажите, у всех на этом адаптере BRIGHT такой темный? Какие резисторы покрутить и в какую сторону, чтобы привести яркость BRIGHT-цветов к норме?

https://i.ibb.co/VYyn3w6/rgb-vga-bright.jpg (https://imgbb.com/)

Uzix
26.04.2023, 20:24
Написал свой вариант прошивки для ZXKit1. Особенности:
* Минимальная задержка, буферизируется только одна строка (поэтому кадровая частота выходного сигнала всегда равна входному);
* Автоматическая подстройка под тайминги входного сигнала в широких пределах: длина строки высчитывается автоматически, длина выходного сигнала строчного синхроимпульса равна половине длины входного, длина гашения после строчного синхроимпульса равна четверти длительности синхроимпульса, параметры выходного сигнала кадрового синхроимпульса равны входному. Это может быть как плюсом, так и минусом - в зависимости от входного сигнала и всеядности монитора;
* Автоматическое определение полярности входных синхроимпульсов. Поддерживаются схемы VSYNC+ HSYNC+ и VSYNC- HSYNC-;
* Поддержка смешанного (композитного) синхросигнала CSYNC. Его следует подавать на вход SSI, KSI при этом нужно подтянуть через резистор 10 кОм к 5В или 3.3В.

Назначение джамперов:
* INVERSE_RGBI - инвертирует полярность входных сигналов RGBI
* INVERSE_KSI - инвертирует полярность выходного сигнала VSYNC
* INVERSE_SSI - инвертирует полярность выходного сигнала HSYNC
* INVERSE_F14MHZ - инвертирует полярность входного тактового сигнала
* VGA_SCART - не используется
* SET_FK_IN - не используется
* SET_FK_OUT - не используется

Для работы прошивки нужно замкнуть между собой ноги 90 и 83 ПЛИС. Это нужно для правильной подачи удвоенного тактового сигнала внутрь ПЛИС.

Прошивку писал для сизифа 512 и для своего монитора, с другими компами/мониторами не проверял, но может быть кому-то ещё пригодится, поэтому решил выложить.

Исходный код и pof-файл для прошивки: https://github.com/UzixLS/zxkit1-vga-scandoubler-firmware

- - - Добавлено - - -

Фото модификации платы (вариант от romychs (https://github.com/romychs/RGB2VGA)):
https://pic.maxiol.com/thumbs2/1682530925.2965552434.img20230426195342.jpg (https://pic.maxiol.com/?v=1682530925.2965552434.img20230426195342.jpg&dp=2)

Arseny
26.04.2023, 20:47
Я, конечно, могу ошибаться в плане нужности, но может быть стоит написать тестовую прошивку выводящую входные данные, полученные от девайса к которому она подключена? Это полезно и в плане выявления ошибок подключения, и в плане подбора параметров для настройки прошивки для "новых" (еще не подключавшихся) девайсов.

reddie
26.04.2023, 20:49
выводящую входные данные, полученные от девайса к которому она подключена
что имеется в виду? исходная синхра сразу на выход, что ли?
или инфа о частоте строчной-кадровой? так это монитор умеет показывать

valerium
26.04.2023, 21:25
Написал свой вариант прошивки для ZXKit1.
Заглянул в прошивку - увидел там использование в противофазе сигналов выборки младшего и старшего байта на шине данных памяти LB и UB. В исходной версии zx-kit1 память 16-разрядная, но подключены только 8 разрядов, поэтому обычно активен только один из UB/LB, второй не имел значения. А тут как вторая половина разрядности задействована?

Upd: посмотрел в авторскую схему из ветки проекта zx-kit, действительно ШД памяти в той схеме разведена на ПЛИС, но по сути наполовину простаивает. Так что предыдущий вопрос вроде уже прояснил себе - тут просто память используется вся, поочередно записывая байты.

А какой в итоге объем на 1 кадр задействуется, вдвое бОльший ?

Arseny
26.04.2023, 22:16
что имеется в виду? исходная синхра сразу на выход, что ли?
ZXKit на вход подается сигналы от некоего устройства: 14Mhz, КСИ, ССИ, синхросмесь и т.д. Программа считает что, например, тактовая равна 14Mhz, но из-за помех в проводах импульсов немного больше - насколько я понимаю, нормального изображения в этом случае получить невозможно. Если КСИ и/или ССИ не соответствуют ожидаемым, или синхросмесь имеет какие-то проблемы - результат тот же. Тестовая прошивка должна обработать входные сигналы и сформировать стандартную VGA картинку со значениями этих параметров (тут разработчикам виднее что еще можно выводить). Т.е. на выходе не изображение с девайса, а его параметры. Да, как некоторые мониторы выводят значение синхронизации, только по всем сигналам и динамические значения. Вот у нас тактовая имеет нестабильное значение - на сформированном изображении её значение будет "прыгать". И сразу понятно куда смотреть и с чем "бороться". Или отвалилась (не припаяли) КСИ/ССИ и значение нулевое - тоже понятно куда смотреть. Или будет понятно почему на новом устройстве не работают имеющиеся прошивки. Да хоть форму сигналов рисовать. Т.к. программе не нужно успевать за входным сигналом, она "успеет" сформировать любую выходную картинку: один кадр получает параметры и сколько угодно потом формирует результат, а потом обрабатывает следующий кадр. Если будет успевать за кадр - совсем хорошо.

Uzix
26.04.2023, 23:43
А какой в итоге объем на 1 кадр задействуется, вдвое бОльший ?
Весь кадр не хранится, сохраняется только 2 строки. Одна прошлая (откуда читаем и выдаём на VGA), другая текущая (куда пишем вход).
Используется 2КБ ОЗУ.

valerium
27.04.2023, 08:59
Весь кадр не хранится, сохраняется только 2 строки. Одна прошлая (откуда читаем и выдаём на VGA), другая текущая (куда пишем вход).
Используется 2КБ ОЗУ.

Красивое решение. За счет удвоения частоты пиксельклока, как я понимаю.
Если такое удвоение достаточно стабильно работает, то можно сильно сэкономить на количестве используемой памяти.

reddie
27.04.2023, 09:08
а разве в исходном варианте буферизируется целый кадр? вроде не замечал задержки, смотря на параллельно подключенные CRT-телевизор через скарт и монитор через кодер.

Uzix
27.04.2023, 09:39
Красивое решение.
Ну ~50% скандаблеров так работают, остальные ~50% хранят кадр.

а разве в исходном варианте буферизируется целый кадр?
Глубоко не разбирался как работает стандартная прошивка, но для 100Гц вывода точно нужно весь кадр хранить.

valerium
27.04.2023, 10:05
а разве в исходном варианте буферизируется целый кадр? вроде не замечал задержки, смотря на параллельно подключенные CRT-телевизор через скарт и монитор через кодер.

Да, там буферизуется кадр.
Задержка на время прорисовки кадра вряд ли будет видна глазом )
Если речь о т.н. "тиринге", когда мы по сути видим соединенными части двух последовательных кадров, то при переработке 50гц входа в 50ц выхода его не видно, а вот при 60гц выхода это можно обнаруживать на чем-то динамичном, но в этом режиме и гигаскрины мерцают заметно, поэтому это режим для спокойной картинки, а не для демок )

reddie
27.04.2023, 10:54
для 100Гц вывода точно нужно весь кадр хранить
нет, я о режиме с исходной кадровой. там, насколько помню, задержки никакой нет, буферизируется та же одна строчка.


Задержка на время прорисовки кадра вряд ли будет видна глазом
смотря чьим глазом)) кто-то и моргание crt-телевизора не видит, мне же оно буквально глаза режет.
в общем, надо еще раз внимательно посмотреть, а лучше видео записать. на нем точно видно будет, есть задержка или нет.
"тиринг", если речь про антифликер, это не мой вариант. только родная кадровая, только исходный сигнал =)
и то его прекрасно видно на движущихся изображениях. кому как, а мне это не нравится.

Froggy
05.05.2023, 22:03
Не могу прошить zxkit1.
Использую Altera USB Blaster rev.c
Внутри чип CH552G

Драйвера поставил, система (Windows7) видит Altera USB.
Запускаю Квартус Программер 13.1.0
Бластер он видит (USB-Blaster).
Подключаю JTAG к Zxkit1, подаю питание на ZXKit1
Запускаю Auto Detect - Квартус плис не видит.
Дает ошибку:
!Error: JTAG chain problem detected
!Error: The TDI connection to the first detected device EPM(3128A|7128AE) might be shorted to VCC or is an open circuit
!Error: The TCK and TMS connections to the device before the first detected device EPM(3128A|7128AE) might have a problem
!Info: Detected 1 device(s)
!Info: Device 1: EPM(3128A|7128AE)

Погуглил, но ответа не нашел.

Rio444
05.05.2023, 22:36
Froggy, монтаж проверяли? Пайка в порядке?
Может быть плохой контакт в разъёмах (обоих). Попробовать при детекте прижать/наклонить разъём. Посмотреть, будет ли разница.

Froggy
05.05.2023, 22:55
Монтаж в порядке.
Покупал этот адаптер в сборе и уже прошитый. Он работает.
Но надо поменять прошивку на 1.0.2. И не получается.

На плате для JTAG используется шестиконтактный разъем. Я так понял, что для прошивки реально используется пять контактов.

backa
06.05.2023, 03:44
На плате для JTAG используется шестиконтактный разъем. Я так понял, что для прошивки реально используется пять контактов
TDO, TDI, TCK, TMS, GND и Vtarget!!!!! ( если у Вашего программатора "Altera USB Blaster rev.c" есть буфер для согласования уровней сигналов, то он ТРЕБУЕТ подачи от прошиваемого устройства ПИТАНИЯ т.е. "Vtarget") - итого 6 сигналов если есть буфер и 5 - если его нет.
И JTAG очень капризен на наличе подтяжек к питанию по линиям (кроме TCK)

Копейкин
06.05.2023, 08:33
Стандартный JTAG для Альтеры 10-контактный, 2х5. Если у Вас другое количество контактов в бластере или на плате, нужно разбираться и паять шлейф-переходник.

Froggy
06.05.2023, 10:25
Стандартный JTAG для Альтеры 10-контактный, 2х5. Если у Вас другое количество контактов в бластере или на плате, нужно разбираться и паять шлейф-переходник.
Коллеги, я балбес. Переходника у меня нет. Развел проводами. Все прошилось без проблем.
Прошил версию 1.0.2. Артефакты изображения пропали. Поэтому для Пентагона 128 рекомендую сначала 1.0.2.

Arseny
06.05.2023, 10:50
Использую Altera USB Blaster rev.c

Переходника у меня нет. Развел проводами. Все прошилось без проблем.
Нарисуй, для последователей, что куда соединял - может кому пригодится.

Froggy
06.05.2023, 12:33
Нарисуй, для последователей, что куда соединял - может кому пригодится.
Там рисовать особо нечего.
У меня плата zxkit1 от Ромыча версии 2.0.1
В ней Jtag разведен так:

https://i.ibb.co/z2vzGSK/jtag-zxkit-6pin.jpg (https://ibb.co/Ydsxptv)

Подключаем по этим картинкам и шелкографии на плате USB Blaster:

https://i.ibb.co/jV7QxCX/usb-blaster.jpg (https://ibb.co/yhLZMzj)

https://i.ibb.co/f1QttWd/jtag-10-6-pin.jpg (https://ibb.co/h7CHHNF)

Кстати, появилась новая версия этой платы, версия 2.0.2. В ней нормальный 10-ти пиновый JTAG

https://i.ibb.co/hDjR8Fq/IMG-20230505-074643-687.jpg (https://ibb.co/hDjR8Fq)

Seabolt
08.05.2023, 18:34
Поделитесь пожалуйста прошивкой v.2.0 и v.2.06. Что то не нашел в теме...

Seabolt
09.05.2023, 09:20
Не могу подключить свою АТМ 7.10 через конвертер RGB-VGA. Вот так показывает.. Символы сливаются. Прошивка в конвертере 1.0.2 Подскажите куда копать? Может прошивку сменить? Какую версию лучше прошить? 78862

Ser
15.06.2023, 07:00
Перемычки пробовали? Средняя

Seabolt
16.06.2023, 07:35
Пробовал. - не помогло. Может прошивку сменить?

Freiwind
18.06.2023, 16:42
Фото маленькое. Не видно ничего, что там не так.
Прошейте 2.08.

Seabolt
20.06.2023, 10:43
Не знаю как вставить с лучшим разрешением картинку..

- - - Добавлено - - -

на 2.08 стало получше. Но всё равно буквы какие-то размытые. Закинул на яндекс диск.https://disk.yandex.ru/i/kbZrpQ2qeWru1A Может лучше видно будет.

UncleDim
20.06.2023, 17:08
буквы какие-то размытые
а штатный выход (на условно телевизор) не "ломается" при этом?
(выглядит будто входные 14МГц далеки от меандра)

backa
21.06.2023, 04:59
В архиве плата с герберами и описанием, прошивки тестовая и боевая 1.0.2. Плату заказывал в толщине 1мм - в этом случае без подгонок на нее натягивается кабельный разъем VGA.
VGALITE.zip
подскажите если сможете )) несколько дней пытаюсь понять в чем косяк...
Собрал по вашей схеме с использованием 8-мибитной ОЗУ платку на макетке(все на проводах).
При прошивке тестовой прошивки - картика идеальная на всех мониках на 49Гц (кадровая)
При использовании вашей "боевой" версии на Verilog (я пересобрал под свою алтеру и свой пинаут) - начинаеться свистопляска с синхронизацией - строчная разверка завернута на весь экран - кадровая стоит влитая на 49Гц а на 60Гц начинают бежать кадры.
Истояник сигнала - Карабас Nano.Там есть косяк где-то внутри VHDL - я пытался перевести с Альтеры на XILINX - отзеркалены все знакоместа слева на право.
Осицллограф выдал мне, что кадровая - 48.807Гц , а строчная - 15,619кГц.
Можно преположить , что раз тестовая работает как часики , то надо искать причину строчной НЕсинхронизации во внешних сигналах? У меня нет к сожалению другого источника RGB сигнала , чтобы проверить эту идею

valerium
21.06.2023, 09:56
При использовании вашей "боевой" версии на Verilog (я пересобрал под свою алтеру и свой пинаут) - начинаеться свистопляска с синхронизацией - строчная разверка завернута на весь экран - кадровая стоит влитая на 49Гц а на 60Гц начинают бежать кадры.

Вот эти сравнения бы поподробнее (завернута на весь экран - это как ?) или лучше бы их вообще увидеть. Воображение может далеко от истины увести.
При деактивации /CE у памяти что-то в картинке меняется ? У меня нечто приблизительно подобное было при неработающей памяти (равно как и без нее, где-то в ветке даже фрагмент видео есть).
Какая именно память ? Как подключена, сколько адресных линий задействовано ?

Тестовая прошивка не использует память вообще, все строится внутренними счетчиками в плис. Она автономна и по части памяти тоже. Поэтому я бы не про захват думал, а про взаимодействие с памятью в первую очередь

backa
21.06.2023, 13:42
акая именно память ? Как подключена, сколько адресных линий задействовано ?
статика на 512К, А18 и А17 нулем прибиты к земле от CPLD.79016

- - - Добавлено - - -

k6x4008 всеми пинами к подключена к CPLD. CS всегда на "0" пином.
делал по Вашей схеме - ничего лишнего - CPLD & RAM

valerium
21.06.2023, 15:05
k6x4008 всеми пинами к подключена к CPLD. CS всегда на "0" пином.
делал по Вашей схеме - ничего лишнего - CPLD & RAM
Ну вот по горизонтали вижу, что каждый пиксель двоится, только выводится не из памяти. Из-за этого одна строка в две размазывается.
Вот так (https://disk.yandex.ru/i/Sdj9RZWzO29NyQ) оно работает, если памяти нет или из нее ничего не читается (считаем, что шд памяти в воздухе).
И повторюсь, если /CS у памяти заединичить - поменяется ли картинка ?

По поводу зеркальной картинки - я так понимаю, что это не скандаблер виноват ?

backa
21.06.2023, 18:14
И повторюсь, если /CS у памяти заединичить - поменяется ли картинка ?

По поводу зеркальной картинки - я так понимаю, что это не скандаблер виноват ?
да - такая же картинка и с S-video Ad724 , распаянной отдельно в составе карабаса нано. Там скорее всего в замененых сдвиговых регистрах - в Алтере они использованы из библиотеки а мне пришлось заменить на чистый VHDL код - видимо не срослись ))) я пока в плисоводстве только учусь на чужом коде и примерах

- - - Добавлено - - -

без ОЗУ картинка не изменилась( ОЗУ в панели ) . Я пошел сразу проверять все пины на СPLD с помощью TopJtag probe - нашел "нет соединия" по линии D6, D5 и А12( макетки б/у подвели ) - все устранил и .... та же картинка. ОЗУ новая, с той же коробки такая же работает с карабасом((( Надо дальше копать ....

- - - Добавлено - - -

Для надежность заменил ОЗУ. Все сигналы на ОЗУ "присутствуют" - картинка не изменилась....

valerium
21.06.2023, 22:21
Для надежность заменил ОЗУ. Все сигналы на ОЗУ "присутствуют" - картинка не изменилась....

Ну вот продолжаю подозревать, что с памятью что-то не так. Подкину хаотичный набор предположений, может будут идеи.

1. Серия k6x4008 вроде 5-вольтовая, здесь она правильно запитана, не от 3,3в по ошибке ?
2. Перепиновка ПЛИС точно по всем линиям принудительная или квартус какие-то линии сам расставил из-за опечатки в qsf ? Для проверки лучше взять .pin-файл (репорт по распиновке) из собранного проекта и по нему проверить все линии управления ОЗУ, может какая-то из линий не туда попала.
3. ПЛИС-то какая, собственно ?
4. Память 55 или 70нс ? Это вообще с потолка идея, я не считал, какое там надо быстродействие, но просто для понимания, что еще отличается.
5. Есть, куда еще память воткнуть для проверки ?

backa
21.06.2023, 23:13
у вот продолжаю подозревать, что с памятью что-то не так. Подкину хаотичный набор предположений, может будут идеи.
спасибо за любые идеи)))!!!


1. Серия k6x4008 вроде 5-вольтовая, здесь она правильно запитана, не от 3,3в по ошибке ?
все намертво прибито к 5 ВОЛЬТАМ. Основа макета - CPU & RAM - 5-вольтовые. Хотя уровни всех сигналов от CPLD = 3.3В - загадка)) Выглядит как у нее есть встроенный стабилизатор на 3.3В (шутка). Можно резисторами шину данных к 5В подтянуть - но это глупо - ОЗУ допускает минимальный уровнеь от 2.5В. Нет смысла. Я проверял каждый пин на CPLD - дергал "программно" через Jtag, когда ОЗУ не было в панельке - ЧЕТКИЕ УРОВНИ 0\1. Потом вставил НОВУЮ ОЗУ и уже в работе с входным сигналом прошелся по всем выводам ОЗУ - все вполне похоже на правду. Надо конечно по-уму засинхронизировать шину данных от WE сигнала и посмотреть что пишется и читается соответсвенно в\из ОЗУ - не дошли руки сегодня .....


2. Перепиновка ПЛИС точно по всем линиям принудительная или квартус какие-то линии сам расставил из-за опечатки в qsf ? Для проверки лучше взять .pin-файл (репорт по распиновке) из собранного проекта и по нему проверить все линии управления ОЗУ, может какая-то из линий не туда попала.
имеет смысл - один раз сам ошибся и зеленый VGA попал на другой пин - не знаю как это случилось - не нравиться мне это перетягивание пинов из таблицы на план микросхемы. Проверю pin файл. Но я уже TopJtag prob-ом прошелся по таблице названий на CPLD и щупом на выводах ОЗУ. Ошибок не было - кроме , что я говорил ....


3. ПЛИС-то какая, собственно ?
EPM7128SQI


4. Память 55 или 70нс ? Это вообще с потолка идея, я не считал, какое там надо быстродействие, но просто для понимания, что еще отличается.
55нс. Я уже прошелся по datasheet-у и щупом оссцилографа -самая высокая частота на "OE" - 7МГц - с запасом.


5. Есть, куда еще память воткнуть для проверки ?
Так я сегодня новую вставил ))) дабы устранить возможные косяки.
Можно наверное "TopJTAG Flash Programmer" попробовать для тестирования - но будет очень медленно - флэшку такого размера он 2 часа писал(((

- - - Добавлено - - -


Вот так оно работает, если памяти нет или из нее ничего не читается (считаем, что шд памяти в воздухе).
только сейчас смог посмотреть это видео - да вполне похоже на мой случай(((( - у мнея только буковки для "левоглазых" ))))))) отзеркалены)))


Я добавил в Ваш код только эти 3 строки:


assign A17 = 1'b0;
assign A18 = 1'b0;
assign CS = 1'b0;

Осцилл показывает "0" на них в реально работе
Вот наверное имеет смысл попоробовать изменить для I/O Standard - с 3.3-V LVTTL (сейчас у меня ВСЕ выводы в таком режиме работают) на TTL - тогда и уровни у CPLD поднимутся до 5В .... Я думал об этом но не придал сильного значения ....
Поменял на TTL - нет эффекта

backa
25.06.2023, 03:56
из собранного проекта и по нему проверить все линии управления ОЗУ
все пытаюсь "побороть" виртуальное отсуствие ОЗУ - если взглянуть на диаграмму сигналов то я вижу "нездоровую" тишину на линиях А9..А16 и на шине данных не так много "разных" данных: небольшой ряд данных 00, 88, 33, 22 в HEX79045
во время чтения CPLD по шине данных получает шину в Z состоянии. Pin файл проверил - все ок, ногами "дрыгал" - все четко отрабатывает - чудеса просто)))

valerium
25.06.2023, 12:28
во время чтения CPLD по шине данных получает шину в Z состоянии. Pin файл проверил - все ок, ногами "дрыгал" - все четко отрабатывает - чудеса просто)))

Земли и питания у ПЛИСки надежно ВСЕ подключены ? Или какая-нибудь группа ног i/o работает, например, с оторванной от всех землей? Что при этом на ней будет, z, 0 или 1, я не знаю, но из всех моих проблемных экспериментов с плис в 95% случах был виноват неконтакт/непропай - тут может быть тоже что-то в этом духе.

backa
25.06.2023, 13:40
Земли и питания у ПЛИСки надежно ВСЕ подключены ? Или какая-нибудь группа ног i/o работает, например, с оторванной от всех землей? Что при этом на ней будет, z, 0 или 1, я не знаю, но из всех моих проблемных экспериментов с плис в 95% случах был виноват неконтакт/непропай - тут может быть тоже что-то в этом духе.
все припаяно намертво и на каждом питании по кондеру блокировчному. Я же говорил чтo
КАЖДУЮ линию от CPLD проверил используя Jtag. Нашел сегодня весию 1.0.2 на VHDL(может что-то не так с Verilog версией - одни гадания) ( Вы выкладывали по моей просьбе давно). Попробую ее собрать и прошить , а то уже тупик: все исправное, по-отдельности работает а вместе нет
В моей макетке для CPLD есть один нюанс: один из 2х пинов VCCINT(5V) (41 и 93) висит в воздухе. Но я прозванивал на парную ножку - они внутри корпуса звонятся накоротко и на ней при включении есть 5В. Второй пин запитан как положено
"Молчащие" А9-А16 разбросаны на разные группы CPLD.

backa
25.06.2023, 17:54
Собрал версию на VHDL (убрал , все что для PAL codera, иначе не хватало 6 макроячеек ) и результат .... тот-же((( ну нет слов просто .... Зато нашел в коде, место , где активируется работа линий А9-А16 - только при джампере "частота кадров 60 Гц" в положении "1" - у меня начинают бежать кадры на всех мониках при такой частоте ....
Проверил ВСЕ пины питающие и землянные - все четко ! Подал щупом на висящий в воздухе VCCINT(5V) №93 - никакой реакции на экране

valerium
25.06.2023, 23:50
Проверил ВСЕ пины питающие и землянные - все четко ! Подал щупом на висящий в воздухе VCCINT(5V) №93 - никакой реакции на экране
Так. Если 93-й пин - VCCINT, то речь идет про 7128SQI в PQFP-100 ? А почему изначально этот пин в воздухе висел ?

UncleDim
26.06.2023, 00:43
нашел в коде, место , где активируется работа линий А9-А16 - только при джампере "частота кадров 60 Гц"
что логично, ибо для простого дублирования строк нафиг не нужно много памяти

backa
26.06.2023, 04:43
Так. Если 93-й пин - VCCINT, то речь идет про 7128SQI в PQFP-100 ?
верно - редкий прямоугольный корпус


А почему изначально этот пин в воздухе висел ?
потому что макетка для распайки была под другой процессор но в таком же корпусе - и этот пин был соединен с линий общей - я отрезал пин от общей линии и проверил есть ли контакт с "напарником №41" - да - есть 1.0 Ом. Я не стал заморачиваться с проводами ...
Сегодня перепробовав ВСЕ что можно - решил принудительно подать на него +5В - никаких изменений. Дело не в нем....а в чем - ума не приложу((( Мне нужна хорошая картинка с этого макетного компа , потому что с AD724 идет ужасный по качеству сигнал (монтаж проводами и телек NTSC -все в купе дает черно-белое видео ((( ))) потому и хочу этот вывод на VGA сделать.

Ser
26.06.2023, 06:38
АТМ 7.10
Недавно приносили скорпион у него похожее было из за лп5

UncleDim
26.06.2023, 08:34
Выглядит как у нее есть встроенный стабилизатор на 3.3В
не то, что б стабилизатор, но..
When VCCIO is 5.0 V, setting the output drain option is not necessary
because the pull-up transistor will already turn off when the pin
exceeds approximately 3.8 V, allowing the external pull-up resistor to
pull the output high enough to meet 5.0-V CMOS input voltages

valerium
26.06.2023, 10:47
потому что макетка для распайки была под другой процессор но в таком же корпусе - и этот пин был соединен с линий общей - я отрезал пин от общей линии и проверил есть ли контакт с "напарником №41" - да - есть 1.0 Ом. Я не стал заморачиваться с проводами ...

А этот самый случайно полезный контакт возник откуда ? Может еще есть такие кз между выводами ? И одна нога в живой работе другой не дает дрыгаться?

backa
26.06.2023, 12:43
А этот самый случайно полезный контакт возник откуда ? Может еще есть такие кз между выводами ? И одна нога в живой работе другой не дает дрыгаться?
Неа , КЗ там нет у тех выводов что используються в проекте. Я прозвонил каждый аывод относительно доугих 99,-ти. Я уже много раз упомтнал что я ьестировал ВСЕ ВЫВОДЫ С ПОМОЩЬЮ JTAG . И смотрел осциллографом в работе - на все выводах присутсвует ногодрыг)

UncleDim
26.06.2023, 14:22
И смотрел осциллографом в работе
Так дайте и людям посмотреть)
только не "миниатюрами" как ранее - они вообще нечитаемы.

backa
26.06.2023, 14:35
Так дайте и людям посмотреть)
только не "миниатюрами" как ранее - они вообще нечитаемы.
я бы с радостью но сайт ужимает картинку САМ до нечитаемого состояния. И там не реальная осциллограмма ( нет у меня лог. анализатора на 30 линий)))) а скриншот с программы TopJtag Probe. Она конечно условно показывает все что выше 5МГц. Но для оценки пойдет .

UncleDim
26.06.2023, 14:53
А не надо все 30 в одну картинку впихивать)
Несколько осциллограмм, типа we+d, we+a, oe+d,...
ЗЫ. Разбирал недавно свою похожую макетку - так обратил внимание на то, что f14 я подавал на нее тонким коаксиальчиком. Наверное не просто так..

backa
26.06.2023, 15:21
я тоже немного читал тему и видел что у людей были вопросы как "правильно" заводить на плату 14МГц . У меня выходит с главной CPLD после деления 28 на 2 и в экоанированном кабеле с остальными rgb сигналами приходит на плату VGA. Я проверял форму - ничего плохого не обнаружил. близкие к прямоугольнику 14МГц.

backa
26.06.2023, 19:59
поставил я K6T1008CE2-BB55 и результат .... тот-же((((чтобы отвязаться от памяти....

UncleDim
26.06.2023, 21:38
чтобы отвязаться от памяти....
видимо пора привязаться к ней щупами осциллографа, а то так можно долго гадать

backa
26.06.2023, 22:08
видимо пора привязаться к ней щупами осциллографа, а то так можно долго гадать

в том то и дело что осциллографом там все проверено МНОГОКРАТНО. На всех выводах присутствуют сигналы .... на всякий подтянул к питанию шину данных .... в зависимости что на экране - линии данных D0 D6 есть сигналы или просто 0

UncleDim
26.06.2023, 22:25
На всех выводах присутствуют сигналы
В этом у меня сомнений нет, ибо даже третье состояние - это тоже сигнал)
Ловите операции чтения, записи, их взаимное расположение, длительности, отсутствие конфликтов и т.п.
Лучей-то хоть два? четыре конечно вообще шик. а "в однобортном сейчас никто не воюет")

backa
27.06.2023, 01:50
Лучей-то хоть два? четыре конечно вообще шик
лучей как раз 4 и еще лог.анализатор на 16 каналов - к сожалению , только на работе .
Я уже многократно убеждался в отсутствии "контактов где они нужны" и КЗ между ножками CPLD.
Все идет к тому, что напишу простой скрипт для "JTAG Live Studio" на Python , который проверит эту связку "CPLD+RAM" .... я им уже баловался - моргал светодиодами на другой платке с Xilinx....
Есть у меня сомнения по поводу того, что Quatrus "оптимизирует" что-то и выкидывает нужное из кода.
Я настройки не менял особо там - только неиспользуемые пины в 3ее состояние перевел и на TTL уровни.
Я не автор и не могу судить как этот проект работает в реале в CPLD. По-этому и надоедаю автору и "со-авторам" с вопросами системного характреа. А по электронике я сам разберусь (с КЗ и обрывами и конфликтами на шинах - уже много десятков лет этим занимаюсь)) ).
Я очень ценю помощь отвечающих в этом топике!!! Это помогает найти ИСТИНУ))

valerium
27.06.2023, 08:09
Есть у меня сомнения по поводу того, что Quatrus "оптимизирует" что-то и выкидывает нужное из кода.
Я настройки не менял особо там - только неиспользуемые пины в 3ее состояние перевел и на TTL уровни.

Ну чтоб это не превращалось в гадание, предлагаю файлы проекта всем совместно глянуть, может свежим взглядом что-то увидим

UncleDim
27.06.2023, 08:44
неиспользуемые пины в 3ее состояние перевел
(безотносительно обсуждаемого железа - не лучшее решение для неиспользуемых пинов.)

Я не автор и не могу судить как этот проект работает в реале в CPLD
ну а исходник на что, его надо вдумчиво курить)
да и функционал скандаблера не бог весть какой сложный: в пределах одной входной видеостроки на одну запись в память приходится два чтения, на один входной строчный синхроимпульс генерится два выходных - вот эту картинку и стоит для начала снять анализатором (необязательно при этом заводить все адреса, достаточно нескольких младших разрядов для оценки правильности работы счетчиков)

- - - Добавлено - - -


файлы проекта всем совместно глянуть
да и схему с макетки срисовать не лишним будет (само-реверс-инжиниринг, как метод отладки. иногда приводит к неожиданным результатам))

backa
27.06.2023, 13:20
Ну чтоб это не превращалось в гадание, предлагаю файлы проекта всем совместно глянуть, может свежим взглядом что-то увидим
так это же Ваши исходники я использую из этой темы)))

- - - Добавлено - - -


предлагаю файлы проекта всем совместно глянуть, может свежим взглядом что-то увидим
вот оба , Вами выложенные в теме ...
7906679067

- - - Добавлено - - -


да и схему с макетки срисовать не лишним будет (само-реверс-инжиниринг, как метод отладки. иногда приводит к неожиданным результатам))
схемы НЕТ как таковой)) две макетки - на них 2 чипа соединные проводами)))

valerium
27.06.2023, 20:26
так это же Ваши исходники я использую из этой темы)))
вот оба , Вами выложенные в теме ...

Это не про код базового модуля, а как раз про побочные штуки внутри проекта, меня интересовал .qsf и финальный .pin - дело ж в каких-то мелочах..
qsf вроде без вопросов, да и в коде, по сути, нету изменений кроме ряды константных выходов, хотя для макета я бы не тянул отдельные провода к A17, A18 и CS, а занулил бы - это припаять проще, чем к ПЛИСке )
Память в DIP32, то есть на в reverse-корпусе, тут тоже не ошибиться. На 22й ноге всегда 0 ?


схемы НЕТ как таковой)) две макетки - на них 2 чипа соединные проводами)))
Вот схема этих проводов и интересует, ошибку ж ищем)
Я тоже сталкивался с тем, что доказявая по своему клубку проводов себе (и не только себе), что все правильно, вдруг обнаруживается какой-нибудь нежданчик.

backa
27.06.2023, 21:12
Я тоже сталкивался с тем, что доказявая по своему клубку проводов себе (и не только себе), что все правильно, вдруг обнаруживается какой-нибудь нежданчик.
я же многократно говорил, что в программе ТорJtag ВСЕ ЛИНИИ С CPLD дрыгал и смотрел на ОЗУ!!! на соотвествующем пине....на CS всегда "0"))) Я подключал все пины от ОЗУ к CPLD как для этого случая - программно полностью управлять ОЗУ из строннего софта по JTAG Boundary-Scan technology. Надежно и удобно. А еще можно прошивать таким образом ПЗУ ВНУТРИ СХЕМЫ ( что я и делал с основной ПЗУ - правда не быстро - 2часа для 512к)

Ничего не остается как снова пройтись в режиме JTAG с тестером ну или на работе распаяю пины с шины данных и управления ОЗУ(для логического анализатора) или скриптом на Pythone все-таки напишу тест для ОЗУ.


Память в DIP32, то есть на в reverse-корпусе, тут тоже не ошибиться.
Не совсем понял , что Вы имели ввиду но память находиться ПОД CPLD - место надо экономить на макетке и линии короче будут))) По-идее на макетке весь Синклер можно засунуть под CPLD на переходной плате - у меня под основной CPLD 2 ОЗУ корпуса расположены очень удобно))) Правда много макроячеек съедает ручное назанчение пинов на CPLD (((( Я изза этого не смог Карабас нано весь впихнуть в 216 макроячеек (на авторасстановке все влазит)

LeoN65816
28.06.2023, 06:09
Правда много макроячеек съедает ручное назанчение пинов на CPLD (((( Я изза этого не смог Карабас нано весь впихнуть в 216 макроячеек (на авторасстановке все влазит)
Здесь "корень зла" лежит в малой разрядности внутренней шины PIA, всего 36 сигналов. При автотрассировке фиттер старается расположить функциональные блоки проекта в LAB-ах так локально, чтобы как можно меньше расходовать сигналы PIA.

UncleDim
28.06.2023, 07:59
на авторасстановке все влазит
А как на авторасстановке vgalite себя поведет интересно? Меня смутила не особо высокая расчетная частота F14, чуть меньше 28Мгц...

valerium
28.06.2023, 08:03
Не совсем понял , что Вы имели ввиду но память находиться ПОД CPLD - место надо экономить на макетке и линии короче будут)))
K6x4008 бывает в версии корпуса tsop32-r, там зеркальная распиновка - видимо для удобной напайки расширения с обратной стороны платы под микросхемой без R.
Но это только для tsop32 бывает, а не для dip32 (см.даташит).
Или все-таки память в tsop32 на переходнике?

backa
28.06.2023, 13:16
Здесь "корень зла" лежит в малой разрядности внутренней шины PIA, всего 36 сигналов. При автотрассировке фиттер старается расположить функциональные блоки проекта в LAB-ах так локально, чтобы как можно меньше расходовать сигналы PIA.
реальнополезная для понимания физики процесса трассировки!
Я старался разместить шину данных в одном блоке и шину адресса тоже - не помогло - разница при авторассировке и ручной значительная

А как на авторасстановке vgalite себя поведет интересно? Меня смутила не особо высокая расчетная частота F14, чуть меньше 28Мгц...
Ну чтобы это узнать - надо новую платку спаять - у меня есть такая задумка на PLCC корпусе сделать - но нет особой надобности. Думал к Балтику прикрутить но там тактовая 16МГц - перелапачивать код ради Балтика не хочу)

- - - Добавлено - - -


K6x4008 бывает в версии корпуса tsop32-r, там зеркальная распиновка
полезное замечание (я вроде даже где-то такое читал но забыл)))

Или все-таки память в tsop32 на переходнике?
ОЗУ в ДИП32. Но Вы правы, и у меня есть гигантский SOIC на 32 с этой памятью - на переходную плату - были идеи ее поставить, потому что корпус DIP32 на 1 мм не влазил под CPLD - пришлось напильником торец подточить)))

Оххх - как это все затянулось ))) Но пока это все глючит - рядом на плате уже установлен корпус Cyclone® 10 LP 10CL025YE144C8G. Ипамять есть 3вольтовая на 8МБАЙТ для него. Туда все влезет )))) сразу - шутка ...Смогу нормально тренироваться (на кошках - часики делать для начала ) и отлаживать без лимита в стираниях - осталось найти ПОЛНЫЙ datasheet -Intel засекретил этот чип для ардуринщиков))) На сайте и везде лежать какие-то буклеты

UncleDim
28.06.2023, 14:40
Попробуйте ещё урезать, до просто скандаблера

backa
28.06.2023, 14:52
Попробуйте ещё урезать, до просто скандаблера
не совсем понятно что выкинуть из кода?
Кстати попробовал поставить на все линии входных сигналов экранированный кабель - делал когда-то для высокоскоростного SPI . Результат тот-же.Прозвонил все 32 ноги от пинов ОЗУ К ПИНАМ CPLD - ошибок нет ( да и прлверял уже много раз )

- - - Добавлено - - -

Напоминаю что тест идет исключительного качества. Т.е. CPLD и схема выхода в порядке. щВ рабочем режиме есть проблемы с двоением букв и синхронизациями.

- - - Добавлено - - -

У меня на главный глобальный тактовый вход подаеться внешние 14МГц а на второй подаеться после 2 инверторов на 74НС04. Я убирал это сигнал для проверки( в панельке ) - никакого эффекта

UncleDim
28.06.2023, 15:38
что выкинуть из кода?
Да можно ничего не выкидывать, а просто вместо входа set_fk_out задать в коде жестко единицу (или что там надо для 50гц), по идее всё лишнее при компиляции выкинется

backa
28.06.2023, 15:59
Да можно ничего не выкидывать, а просто вместо входа set_fk_out задать в коде жестко единицу (или что там надо для 50гц), по идее всё лишнее при компиляции выкинется
а смысл в этом. Сейчас все влазит в этот чип. Перемычка эта нужна - некоторые моники не хотят работать на 49Гц.

UncleDim
28.06.2023, 16:28
а смысл в этом
смысл - упростить потроха, чуть приблизив их к тесту (который исключительного качества)

valerium
28.06.2023, 22:16
Да можно ничего не выкидывать, а просто вместо входа set_fk_out задать в коде жестко единицу (или что там надо для 50гц), по идее всё лишнее при компиляции выкинется

backa, а вот, кстати, попутный вопрос: входные ноги перемычек в макете куда-то подтянуты ? Исходно к единице.
Вот эти:


input SET_FK_OUT,
input INVERSE_KSI,
input INVERSE_SSI,

У 7128 встроенные подтяжки на входах не включить (их не было в тем поколениях), а висящие входы вроде бы нулевыми читаются.
Последние два либо в коде надо заединичить, либо ноги резисторами подтянуть.
А SET_FK_OUT определяет выходную кадровую 60гц (при 1) или 50гц (при 0), тут не так критично.

backa
28.06.2023, 23:15
либо ноги резисторами подтянуть.
так и сделано - стоят джамперы и ноги через резисторы к питанию подтянуты - я распаял все 7 на всякий случай

У меня по железу НЕТ ИДЕЙ больше((( я все уже перпроверил 100500 раз - мистика какая-то...
Осталось в схеме скриптом ОЗУ проверить и больше идей нету - но скриптом надо в выходные заниматься - там надо немного повникать - давно делал

Если я правильно понимаю, с моим Балтиком с его кварцем на 16МГц этот VGA мегадевайс не заработает (чисто для проверки - мало ли что)) ) без кординального перелопачивания кода для подгона таймингов ?

UncleDim
29.06.2023, 00:16
НЕТ ИДЕЙ больше
собрать второй экземпляр или просто заменить cpld например (просто чтоб исключить эту версию хотя бы)

backa
29.06.2023, 00:48
заменить cpld например (просто чтоб исключить эту версию хотя бы)
а вот с этим как очень просто - таких пеерходных платок с одинаковым CPLD у меня несколько))) это все стоит в панельке - один раз ответкой подковырнуть и готово - во второй записан тест.
Сделано! Тот-же результат - отрицательный((

- - - Добавлено - - -


собрать второй экземпляр
на макетке с проводами он мне не нужен вообще в будущем - я планировал развести плату и по результатам макетирования и уже там все бы стояло - теперь вижу есть подводные камни ... надо код VHDL править под карабас нано и решать с VGA. Не хочу потом проводов и резанных дорожек на плате)))

UncleDim
29.06.2023, 09:00
надо код VHDL править под карабас нано
кстати о птичках. в карабасе есть раздельные hsync и vsync? что-то не увидел..

Sandro
29.06.2023, 10:17
так это же Ваши исходники я использую из этой темы)))
вот оба , Вами выложенные в теме ...
7906679067


Жесть. Просто лютая, бешеная жесть. Отладить это невозможно, поскольку это куча асинхронной логики, связанная крест-накрест. Естественно, оно глючит.

Особенно меня поразило вот что:


always @(F14) begin
VGA_H_MAX <= VIDEO_H_MAX[9:1];
end


Во ЧТО, в какое физическое железо должен компилятор это превратить? В микросхеме ничего даже близко похожего нету.

Решение: всё переписать начисто на полностью синхронную логику. always (@posedge/negedge F14 ...

Никаких других always-блоков быть не должно. Вообще. Ни одного.

backa
29.06.2023, 12:38
кстати о птичках. в карабасе есть раздельные hsync и vsync? что-то не увидел..
я 2 строки добавил - он предусмотрел вывод VGA

backa
29.06.2023, 16:13
Решение: всё переписать начисто на полностью синхронную логику. always (@posedge/negedge F14 ...

Никаких других always-блоков быть не должно. Вообще. Ни одного.
первое что я хотел-бы сказать, что и на Verilog и оригинальный на VHDL коды глючат одинаково. Я остался с версией на VHDL - я как-то уже привык глазом к этому языку описания , хоть он и смотрится не так лаконично)))
второе - я еще очень далек от того момента когда я сам смогу такое написать - на Си или любом ассемблере под любой микроконтроллер - намного проще чем ПОКА этот описательный язык

solegstar
29.06.2023, 16:39
backa, прочитал я этот весь тред, и так до конца не понял, в чем у вас такие сложности? как я понял, у вас комп с прошивкой карабас-нано, также понятно, что вы используете прошивку, переписанную на verilog и тут вопрос - почему вы не хотите использовать оригинальные проверенные прошивки на vhdl? ту же 2.0.9?
также, есть на гите andykarpov прошивка для карабаса с программными перемычками, которая работает и с карабасом-нано - https://github.com/andykarpov/karabas-scandoubler
для чего такие сложности?

- - - Добавлено - - -


Если я правильно понимаю, с моим Балтиком с его кварцем на 16МГц этот VGA мегадевайс не заработает
у вас Балтик на 16мгц? дайте ссылку на схему...

- - - Добавлено - - -

посмотрел схему у себя, скачанную отсюда (http://sblive.narod.ru/ZX-Spectrum/Baltik/Baltik.htm), да, похоже в схеме ошибка. кварц на 16мгц. это не проблема, вы всегда можете для проверки взять сигнал частоты CLK с 14 ноги D20. и использовать прошивку 2.0.9 (https://zx-pk.ru/threads/10548-zxkit1-plata-vga-amp-pal.html?p=262222#post262222) в которой есть поддержка 8мгц.

backa
29.06.2023, 16:47
я не могу ТЕПЕРЬ использовать спаянную макетку для версий выше 1.х , потому что у меня распаяна 8битная ОЗУ. нарастить второй корпус в ДИП32 не реально - нет места на платке. Паять заново все - ну разве что для Балтика ))) коль к нему можно все-таки подключиться с его нестандартным кварцем. Снасибо за инфу по Балтику
Я изначально брал версию Карпова для карабаса за основу но не посмотрел в коде , что у него 16тиная ОЗУ используется . Пришлось использовать версию 1.х

valerium
29.06.2023, 19:03
Жесть. Просто лютая, бешеная жесть.Особенно меня поразило вот что:


always @(F14) begin
VGA_H_MAX <= VIDEO_H_MAX[9:1];
end



Во, кто-то заглянул в код )
Сие осталось от бездумного переписывания, а затем выстригания вот этого фрагмента


--------------------------------------------------------------------------------
-- УПРАВЛЕНИЕ СЧЕТЧИКАМИ ТОЧЕК В СТРОКАХ 100216 --
--------------------------------------------------------------------------------
process (F14, SSI, SSI_2)
begin
if (falling_edge(SSI)) then -- если спад строчного синхроимпульса,
if RESET_ZONE = '0' then -- если зона для сброса счетчиков
-- запоминаем состояние вспомогательного счетчика тактов в строке
VIDEO_H_MAX <= H_COUNT;
-- VGA_H_MAX <= (VIDEO_H(9 downto 1) );
end if;
end if;
--------------------------------------------------------------------------------
VGA_H_MAX <= (VIDEO_H_MAX(9 downto 1) ); -- максимальное знач. счетчика VGA
--------------------------------------------------------------------------------
if (falling_edge(F14)) then -- по спаду тактового импульса:
--------------------------------------------------------------------------------
if (RESET_H = '0') then -- если спад входного синхросигнала,
H_COUNT <= (others => '0'); -- обнуляем вспомогательный счетчик тактов
else
H_COUNT <= H_COUNT + 1; -- иначе - увеличиваем его
end if;
--------------------------------------------------------------------------------
-- если начало строчного СИ и строка в средней части экрана по-вертикали:
-- синхронизируем счетчики тактов с входными синхроимпульсами
if (RESET_H or RESET_ZONE) = '0' then
VGA_H <= (others => '0'); -- обнуляем счетчик тактов VGA
VIDEO_H <= (others => '0'); -- обнуляем счетчик тактов VIDEO
else

if (VGA_H = VGA_H_MAX) then -- если последняя точка в строке VGA,
VGA_H <= (others => '0'); -- обнуляем счетчик VGA
else
VGA_H <= VGA_H + 1; -- иначе - увеличиваем счетчик точек VGA
end if;

if (VIDEO_H = VIDEO_H_MAX) then -- если конец строки VIDEO,
VIDEO_H <= (others => '0'); -- обнуляем счетчик VIDEO
else
VIDEO_H <= VIDEO_H + 1; -- увеличиваем счетчик точек VIDEO,
end if;
end if;
end if;
end process;


Компилятор это мужественно выдерживал и превращал действительно в ничто.
Но правда чушь. Выкидываем в мусорку.

UncleDim
29.06.2023, 19:33
нарастить второй корпус в ДИП32 не реально
можно soic под брюхо)

backa
29.06.2023, 19:47
можно soic под брюхо)
это выше моих сил))) да и существующая "конструкция" не даст проделать сей финт - ОЗУ в панельке глухой а с обратно стороны уже распайны провода поперек "подбрюшья" - уж точно проще новое спаять чем в этом "экземпляре" менять ))))

- - - Добавлено - - -


Во, кто-то заглянул в код )
Сие осталось от бездумного переписывания, а затем выстригания вот этого фрагмента


--------------------------------------------------------------------------------
-- УПРАВЛЕНИЕ СЧЕТЧИКАМИ ТОЧЕК В СТРОКАХ 100216 --
--------------------------------------------------------------------------------
process (F14, SSI, SSI_2)
begin
if (falling_edge(SSI)) then -- если спад строчного синхроимпульса,
if RESET_ZONE = '0' then -- если зона для сброса счетчиков
-- запоминаем состояние вспомогательного счетчика тактов в строке
VIDEO_H_MAX <= H_COUNT;
-- VGA_H_MAX <= (VIDEO_H(9 downto 1) );
end if;
end if;
--------------------------------------------------------------------------------
VGA_H_MAX <= (VIDEO_H_MAX(9 downto 1) ); -- максимальное знач. счетчика VGA
--------------------------------------------------------------------------------
if (falling_edge(F14)) then -- по спаду тактового импульса:
--------------------------------------------------------------------------------
if (RESET_H = '0') then -- если спад входного синхросигнала,
H_COUNT <= (others => '0'); -- обнуляем вспомогательный счетчик тактов
else
H_COUNT <= H_COUNT + 1; -- иначе - увеличиваем его
end if;
--------------------------------------------------------------------------------
-- если начало строчного СИ и строка в средней части экрана по-вертикали:
-- синхронизируем счетчики тактов с входными синхроимпульсами
if (RESET_H or RESET_ZONE) = '0' then
VGA_H <= (others => '0'); -- обнуляем счетчик тактов VGA
VIDEO_H <= (others => '0'); -- обнуляем счетчик тактов VIDEO
else

if (VGA_H = VGA_H_MAX) then -- если последняя точка в строке VGA,
VGA_H <= (others => '0'); -- обнуляем счетчик VGA
else
VGA_H <= VGA_H + 1; -- иначе - увеличиваем счетчик точек VGA
end if;

if (VIDEO_H = VIDEO_H_MAX) then -- если конец строки VIDEO,
VIDEO_H <= (others => '0'); -- обнуляем счетчик VIDEO
else
VIDEO_H <= VIDEO_H + 1; -- увеличиваем счетчик точек VIDEO,
end if;
end if;
end if;
end process;


Компилятор это мужественно выдерживал и превращал действительно в ничто.
Но правда чушь. Выкидываем в мусорку.

выложите весь проект с корректировкой - в будущем может быть как отправная точка в освоении Verilog-a

Sandro
30.06.2023, 03:43
Во, кто-то заглянул в код )
Сие осталось от бездумного переписывания, а затем выстригания вот этого фрагмента


А откуда этот фрагмент вообще взялся? В списке чувствительности процесса VHDL должны быть максимум два параметра: клок и асинхронный сброс, если он есть. А относительно always вообще мантра

always @(posedge CLOCK or negedge reset)

Всё остальное -- лотерея. Может, синтезатор угадает, что ты имел в виду. А может -- нет. Второе более вероятно.

А там в коде даблера ещё и гонки между разрядами счётчика вроде как, и много другого асинхронного, зачем?

backa
01.07.2023, 17:13
Поскольку устранить глюк в моем мегадевайсе не представляеться возможным изза отсутствия хоть какого-то направления движения (кроме как собрать новую) , то хотел бы спросить: насколько реально сделать генератор т.н. "заставки" при включении, путем добавления ПЗУ с самой картинкой в простом формате .BMP ... емкость ПЗУ 512Кбайт .... похожего не встречал на просторах инета))) Хорошая задачка для себя по освоению HDL кодирования. Я хотел в эту версию добавить PLCC32 панельку под "брюхо" CPLD ))) рядом с ОЗУ.
И вторая идея - выводить RGB вместо VGA на обычный LCD RGB24 без встроенных контроллеров . Я планирую это сделать (попробовать) с использованием FPGA Cyclone 10LP - который уже запаян на основной плате рядом Карабасом нано и ждет разводки питания, генераторов и разьемов : HDMI, VGA, LCD RGB24bits и ДР.)))))

Rio444
01.07.2023, 18:42
Поскольку устранить глюк в моем мегадевайсе не представляеться возможным
Тоже ещё раз перечитал последние несколько страниц, и так и не понял, в чем конкретно заключается глюк?

backa
01.07.2023, 19:26
Тоже ещё раз перечитал последние несколько страниц, и так и не понял, в чем конкретно заключается глюк?
я выкладывал фото экрана (https://zx-pk.ru/threads/10548-zxkit1-plata-vga-amp-pal.html?p=1180666&viewfull=1#post1180666) - завернут экран по горизонтали со смещением и двоение букв - не знаю насколько точно это описывает проблему
Когда ОЗУ изьята из панельки и работает только CPLD - никаких изменений... ОЗУ исправна и подключена к CPLD без ошибок и линии соединения проверены всеми возможными способами...
valerium в следующем посте описал суть проблеммы - диагностировал "пациента" по картинке))

valerium
01.07.2023, 20:06
А откуда этот фрагмент вообще взялся? В списке чувствительности процесса VHDL должны быть максимум два параметра: клок и асинхронный сброс, если он есть. А относительно always вообще мантра

Фрагмент был приведен здесь из кода прошивки 1.0.2 Вадима (zx-kit), написанного лет больше 10 назад и до сих пор не вызывавшего ни у кого вопросов, ибо есть почти у каждого спектрумиста и работает )
Альтернативы на вга на 50 и 60гц кадровой на данный момент нет. А Вадиму за идею и разработку отдельное спасибо - и за то, что устройство актуально и полезно, и за то, что проект открыт и доступен для любых исследований.
Мой ремейк возник в попытках уменьшить прошивку для встройки в другие проекты, а заодно переписать на верилоге для освоения одного, правда без должной академической базы в этой сфере. Убрал то, что было не надо, оставил, что не понимал, а поскольку работало, то и не копал глубже.

Sandro, спасибо за анализ, для себя выводы сделал и в качестве учебной задачи надеюсь, что смогу раскидать по косточкам эту прошивку и переписать вдумчиво.

backa
01.07.2023, 20:12
смогу раскидать по косточкам эту прошивку и переписать вдумчиво
ждем))) хороший прошивок - их много не бывает)))

Rio444
01.07.2023, 20:22
Когда ОЗУ изьята из панельки и работает только CPLD - никаких изменений... ОЗУ исправна и подключена к CPLD без ошибок и линии соединения проверены всеми возможными способами...
С другими прошивками тоже не работает?

Это я к тому, что в этой системе могут быть проблемы с одним из трёх элементов:
- чип CPLD,
- чип памяти,
- прошивка CPLD.
Плюс теоретически могут вызвать какие-то глюки проблемы с питанием. Например заниженное напряжение питание.
Нужно поочередно исключать эти элементы из "списка подозреваемых".

Причем замена чипа памяти на другой, предположительно исправный, не является гарантированным способом подтверждения, что память не при чем.
Только проверка чипа тестером, или в другом устройстве. В идеале - аналогичном, но рабочем.
Т.е. снять чип с рабочей платы, припаять проверяемый. Если всё ОК, только тогда можно считать, что дело не в памяти.
Поверьте, я уже сталкивался с тем, что вся партия нерабочая. Или ещё хуже (для диагностики) - два-три чипа подряд в одной упаковке.

backa
01.07.2023, 21:42
С другими прошивками тоже не работает
в моем случае доступна только одна прошивка - у меня распаяна 8битная ОЗУ - так получилось - не убедился в том что все версии рабочие с 8битной ОЗУ
А когда была распаяна то уже поздно мордернизировать - все на проводах - проще новое снова спаять.... А это уже вряд-ли потому, что толстый FPGA ждет не дождеться когда я его задействую на этой большой макетке ....


Это я к тому, что в этой системе могут быть проблемы с одним из трёх элементов
с всем перечисленным Вами я согласен , даже исходя из своего многолетнего опыта в этой сфере.... Все чипы брались ровно со склада с упаковок (никаких Алиэкспрессов ). Они конечно все древние уже - могли от лежания просто перестать работать - такое в моей практике сплошь и рядом - когда в исправном чипсете перестает работать один вывод, который работает внутри схемы без всяких нагрузок.
CPLD и ОЗУ я уже менял - причем 3 разныз ОЗУ ведут себя ОДИНАКОВО.

valerium
01.07.2023, 21:50
в моем случае доступна только одна прошивка - у меня распаяна 8битная ОЗУ - так получилось - не убедился в том что все версии рабочие с 8битной ОЗУ

А разве есть прошивки, которые работают не с 8-битным ОЗУ?

backa
01.07.2023, 21:57
А разве есть прошивки, которые работают не с 8-битным ОЗУ?
вот тут я Вас не совсем понял))) В коде везде (кроме версии 1.х) задействована 16 битная шина данных - тут или использовать 16битную ОЗУ или включать в параллель 2 8мибитной ОЗУ
....