PDA

Просмотр полной версии : -=Speccy2010=- сборка, наладка, тестинг



Страницы : 1 2 3 4 5 [6] 7 8

palsw
10.04.2013, 16:26
zebest, взять большую лупу или микроскоп и каждую ножку пошевелить пинцетом или иголкой на Плис,АРМ и ОЗУ

farewell
20.04.2013, 01:28
Вообще то трудно НЕ_догадаться..

Я тупой. Очень тупой.

Blade
11.05.2013, 17:30
На Пентеве тоже нет прогрессбара.

TSL
11.05.2013, 18:11
Под ATM Turbo 2+ куча готового софта и до сих пор пишется софт. В ZX Evo этот стандарт поддержан с 2010 года.
Алоний, может хватит быть Капитаном Очевидность?
А лучше, повесь себе это на подпись.

palsw
06.06.2013, 19:26
Нужна помощь :)

Есть 2 собранные платы и на обоих одинаковая проблема.

Не прошивается Бут в STR755.Что было сделано и проверенно:

1.До этого собирал 2 платы ,но STR755 были из другой партии.Потребление 100мА не прошитой платы и это норма.Проблем не было и опыт сборки и прошивки есть.
2.Питание 3,3в на STR755 приходить согласно схеме и даташиту туда куда нужно.
3.Питание PLCC 3,3в есть.
4.RX,TX сигналы от FTDI приходят. на корпус и питание не замыкают.В режиме нуль-модем терминал работает.
5.Сигнал BOOT на STR755 заводиться и 3,3в при замыкании перемычки подается.
6.Кварцевый резонатор рабочий и осциллографом наблюдаю 4мгц.(с рабочей платы перекидывал кварц)
7.Пайка str755 проверялась под микроскопом .Между собой ножки не имеют замыканий.на корпус и 3,3 замыканий нет.
8.Проблемы с компьютером нет - на нем же прошивается на моей плате бут с первого раза.
9.Питание Vreg 1,7в для STR755 наблюдается на кондерах.И соответствует 1,7в на моей рабочей плате.

Какие мысли.
1.Партия STR755 не кондиция.(если учесть дефицит str755 даже в китае )
2.Проблема в печатной плате .
3.проблема ФПГА (не знаю как она может влиять в не прошитом состоянии.Выводы должны сидеть в Z состоянии).Но мало ли вешает сигнал .
4.Проблема в часах - вешают I2C шину

Кто силен с STR755 -что смотреть и что может мешать STR755 нормально прошиваться?

alexsan
07.06.2013, 23:51
ап

zorel
08.06.2013, 00:02
Нужна помощь :)

Есть 2 собранные платы и на обоих одинаковая проблема.

Не прошивается Бут в STR755.Что было сделано и проверенно:

1.До этого собирал 2 платы ,но STR755 были из другой партии.Потребление 100мА не прошитой платы и это норма.Проблем не было и опыт сборки и прошивки есть.
2.Питание 3,3в на STR755 приходить согласно схеме и даташиту туда куда нужно.
3.Питание PLCC 3,3в есть.
4.RX,TX сигналы от FTDI приходят. на корпус и питание не замыкают.В режиме нуль-модем терминал работает.
5.Сигнал BOOT на STR755 заводиться и 3,3в при замыкании перемычки подается.
6.Кварцевый резонатор рабочий и осциллографом наблюдаю 4мгц.(с рабочей платы перекидывал кварц)
7.Пайка str755 проверялась под микроскопом .Между собой ножки не имеют замыканий.на корпус и 3,3 замыканий нет.
8.Проблемы с компьютером нет - на нем же прошивается на моей плате бут с первого раза.
9.Питание Vreg 1,7в для STR755 наблюдается на кондерах.И соответствует 1,7в на моей рабочей плате.

Какие мысли.
1.Партия STR755 не кондиция.(если учесть дефицит str755 даже в китае )
2.Проблема в печатной плате .
3.проблема ФПГА (не знаю как она может влиять в не прошитом состоянии.Выводы должны сидеть в Z состоянии).Но мало ли вешает сигнал .
4.Проблема в часах - вешают I2C шину

Кто силен с STR755 -что смотреть и что может мешать STR755 нормально прошиваться?

если нет других вариантов, то феном перепаяй с рабочей платки на ту что пытаешься запустить и если заработает, то так типа будешь уверен на 100% что именно в этой микрухе проблема :) ну по крайней мере я бы так и сделал :)

palsw
08.06.2013, 00:07
zorel, это я оставлю на потом.не охота убивать свою уже рабочую плату.

мало ли как китайцы сделали печатку и вдруг от фена она расслоиться и вздуется.

zorel
08.06.2013, 00:23
palsw, Проверь еще раз этот вариант
3.проблема ФПГА (не знаю как она может влиять в не прошитом состоянии.Выводы должны сидеть в Z состоянии).Но мало ли вешает сигнал .
Сейчас вспоминаю, уже было что-то подобное толи из-за непропая или из-за остатков флюса именно в альтерке, с чем оно связано не знаю.. FT232RL определялась, а STR755 прошить не получалось пока хорошенько не пропаял альтерку и не отмыл платку..

palsw
10.06.2013, 20:33
Отмыл сегодня плату своего Speccy2010 - получилось очень не плохо ,девайсом доволен :)

Из минусов это очень трудно купить по вменяемой цене микроконтроллер STR755.На Украине их нет в продаже , в Китае есть ,но тоже имеется дефецит и не понятно кондицию присылают или заводской брак.

Еще имея именно STR755 иметь прошивку с активированным режим USB-флешки.Кто силен скомпилить прошивку ?

http://i.piccy_.info/i7/ceed9df1ca036d5dc37a52259294db24/4-61-91/15287730/IMG_0117_500.jpg (http://piccy_.info/view3/4698083/dac657ac8fce7c9f4e44bdec5e452c11/)http://i.piccy_.info/a3/2013-06-10-16-28/i7-4698083/472x354-r/i.gif (http://i.piccy_.info/a3c/2013-06-10-16-28/i7-4698083/472x354-r)
http://i.piccy_.info/i7/359442f2e3e464ce3fb25c8df4b77f08/4-61-91/32313908/IMG_0116_500.jpg (http://piccy_.info/view3/4698107/74fc81a01cd26b9c037d1b52cb7ae5ab/)http://i.piccy_.info/a3/2013-06-10-16-33/i7-4698107/472x354-r/i.gif (http://i.piccy_.info/a3c/2013-06-10-16-33/i7-4698107/472x354-r)

Спасибо автору Syd ,а также Zorel за качественную ПП

palsw
10.06.2013, 21:16
Ога, легенды нашего городка... Найди в 755 USB-хост.

В даташите есть usb и в начале темы автор сам писал о отключении из прошивки usb для совместимости с 750 контроллером.

palsw
10.06.2013, 22:06
zebest, облом,я спецом 755 заказывал.Наверное автор перепутал случайно 755 и 750

http://i.piccy_.info/i7/84906d82e69c9c0c93674c4456b1887b/4-61-96/15932757/Bezymiannyi.jpg (http://piccy_.info/)http://i.piccy_.info/a3/2013-06-10-18-06/i7-4698628/635x311-r/i.gif (http://i.piccy_.info/a3c/2013-06-10-18-06/i7-4698628/635x311-r)

palsw
10.06.2013, 22:11
zebest, можно ссылку на разговор год назад.перед закупкой и сборкой прочитывал эту тему несколько раз.неужели запамятовал?

palsw
10.06.2013, 22:15
zebest, если смогу купить 750 то перепаять не проблема будет.

alexsan
12.06.2013, 20:21
ап

alexsan
30.06.2013, 18:50
проблема решена. спасибо ПЕТРУ

palsw
30.06.2013, 19:14
Добавлю только ,что STR755 лучше покупать 2007 -2008 года.тогда проблем будет меньше )).И спасибо Петру за помощь

zorel
30.06.2013, 19:22
Добавлю только ,что STR755 лучше покупать 2007 -2008 года.тогда проблем будет меньше )).И спасибо Петру за помощь

Так а в чем хоть проблема была?

palsw
30.06.2013, 19:32
zorel, партии первые 2006 г только Jtag прошиваются

farewell
11.10.2013, 15:18
Made in Poland

Красивенькая платка.

Поляки ещё, глядишь, наладят выпуск новой игрушки в массы :)

palsw
11.10.2013, 15:22
farewell, плата красивая пока новая.как только туда коснулся паяльник или фен то желтеет и страшная будет.Была у меня такая мать на P4 от Элитгруп.

Merlin2010
11.10.2013, 18:30
А что там случилось с чипом Cyclone II? пятно какое-то на нём (на картинке)

SoftFelix
11.10.2013, 18:55
Merlin2010, Плата от флюса не отмыта.

zorel
19.10.2013, 01:23
Made in Poland
http://mmj.pl/~lotharek/speccy202/IMG_0279.JPG

Весело наверное будет в 2020 году икать STR755...:)

palsw
19.10.2013, 01:25
интересно у этого контроллера нет современного аналого - слегка пп подправить под новый контроллер :)

zorel
19.10.2013, 03:01
жаль у SYDа интерес пропал к speccy, можно было бы перенести STR755 на atmega128

---------- Post added at 03:03 ---------- Previous post was at 01:56 ----------


интересно у этого контроллера нет современного аналого - слегка пп подправить под новый контроллер :)

платку подправить несложно, кто бы перенесет проект под новую микросхему :)

---------- Post added at 03:31 ---------- Previous post was at 03:03 ----------

2007 вообще на ATMEGA32 сделан, кто бы взялся 2010 на atmega128 перенести :)

skyther
19.10.2013, 12:30
перенести STR755 на atmega128
лучше на stm32

Andrey F
19.10.2013, 23:34
лучше на stm32
atmega2560
то же хороший чип.

skyther
20.10.2013, 12:01
<offtop>
у stm32 есть аппаратный usb, можно выкинуть ftdi. а софт с одного арма на другой потрировать проще.
</offtop>

syd
26.10.2013, 18:11
Так что, делаем speccy2014 на stm32 + EP3C10E144C8N?

IanPo
26.10.2013, 18:34
Народ, подскажите:
1) Какова сейчас скорость чтения с карточки в память ?
2) Есть идея завести один из SPI на программирование ПЛИС - такое будет работать ?

Andrey F
26.10.2013, 18:37
Так что, делаем speccy2014 на stm32 + EP3C10E144C8N?
Можно сразу ввести поддержку реального магнитофона?

syd
26.10.2013, 20:38
uSpeccy или Speccy2014?

syd
26.10.2013, 21:37
Давай лучше сделаем Speccy2014. Заменим sdram статикой, чтобы повысить стабильность работы, и вместо str755 поставим микроконтроллер посвежее, например stm32f105, чтобы ему бут шить прямо по usb. Часы и ftdi выбрасываем. Интерфейс между плис и МК я переделаю с параллельного на последовательный (например SPI) чтобы можно было использовать корпуса с меньшим количеством выводов (144 и 64 соответственно). Ни и пожелания форумчан учтем.

palsw
26.10.2013, 21:52
syd, пожелание
1. по стоимости не выходить выше 100$ ,а лучше дешевле :)
2.не использовать эксклюзивные и раритетные комплектующие
3.задумка как в Speccy2010 - при подключении к USB питать устройство и определять как съемный диск для заливки софта на SD

syd
26.10.2013, 23:13
У меня сейчас sdram работает на 84Мгц, но похоже что для двухслойной разводки это большая частота, так как периодически жалуются на проблемы. Теперь мне кажется, что нужно ставить 512х8 sram, и размера для спектрума должно хватит, и позволит снизить частоту работы памяти.
Контроллер делает всю вспомогательную работу по подготовке данных для спектрума (диски, пленки) и позволяет оставить весь софт спектрума как есть, без изменений. Ты же вроде сам пришел к тому, что в плис нужно бросать второе ядро для этих целей. А у меня оно просто стоит снаружи. И бонусом конфигурирует плис.

---------- Post added at 22:13 ---------- Previous post was at 22:11 ----------

Как показывает опыт единицы вносят изменения в софт. Большинству нужна допиленная игрушка.

Blade
26.10.2013, 23:30
Поставив камень от 10К второе ядро как RISC уже можно развернуть и внутри.

А где память взять для второго ядра?

chief
27.10.2013, 00:07
Ни и пожелания форумчан учтем.
Я думаю новому компу в концепции Speccy2010 явно не хватает поддержки USB переферии (клава, мышка, геймпады)

palsw
27.10.2013, 01:07
perestoronin, zx-bus к концепции не подходит,как и винт сата .Все должно быть маленькое и игровое.-флешка же есть .

Ефим
27.10.2013, 10:17
Я как пользователь выскажу своё мнение по UxReVerse и Speccy2010.
UxReVerse это devboard, благодаря наличию на плате разных видов памяти SDRAM и SRAM, чипам FTDI, RTС, GPIO пинов. Разработчик устройств на базе этой devboard освоив программирование ПЛИС может изготовить плату раширения с любыми чипами на борту для своего устройства благодаря наличию GPIO пинов. Что собственно и делает Vlad. У меня лично пожелание к UxReVerse это установка ПЛИС пожирнее и соответственно увеличение числа GPIO пинов. Вообщем я однозначно приобрету новую версию UxReVerse.
Speccy2010 это лучшая Speccy игрушка, очень компактная, удобный интерфейс пользователя и программирование устройства по большому счёту необходимо только один раз и то без использования спец. оборудования. Изображение по композиту правда не качественное. У меня лично пожелание к Speccy2014 это установка HDMI выхода. Установка USB входа для клавиатуры и мыши, чтобы можно было использовать беспроводные клавиатуры и мыши. Установка ПЛИС пожирнее для эмуляции отличных от Speccy игровых платформ через софтовый загрузчик, тогда получится такой супер GameBox. Такое устройство я себе приобрету однозначно.
UxReVerse и Speccy2014 я для себя позиционирую как разные устройства, хоть и несколько похожих друг на друга.
Выражаю огромную благодарность разработчикам этих устройств.

skyther
27.10.2013, 14:33
syd, может сразу stm32f4 ? у него usb host есть.
а 512КБ всё же маловато, или имелось в виду 512*16 ?


Быстрее сдохнет контроллер
так ведь прошивка плис на карте лежит, а не в контроллере?


zx-bus к концепции не подходит
а вот свободные пины на разъем вывести стоит, к сп2010 неудобно периферию припаивать.

syd
27.10.2013, 20:43
syd, может сразу stm32f4 ? у него usb host есть.
Та дорогой он. Думаю может хост на плис сделать?


а 512КБ всё же маловато, или имелось в виду 512*16 ?
Но если ставить снова sdram, то нужно как-то его грамотно развести, чтобы работало у всех, а не только у меня и избранных. Использование sram существенно упростит задачу, тк позволит снизить частоту.
512*8. Сам понимаю что мало. Может две поставить?

Kota
29.10.2013, 11:41
Та дорогой он. Думаю может хост на плис сделать?
Неужели на ПЛИС экономически эффективнее реализовать usb-host, чем на внешнем микроконтроллере?

Но если ставить снова sdram, то нужно как-то его грамотно развести, чтобы работало у всех, а не только у меня и избранных.
А разве что-то не так с разводкой? 2-х сторонней платой частота 84МГц вполне нормально переваривается. SDRAM максимально приближенно к FPGA, может просто не хватает выравнивающих резисторов.

Использование sram существенно упростит задачу, тк позволит снизить частоту.
Вы какую планируете применить, обычную или fast?

syd
30.10.2013, 10:02
Неужели на ПЛИС экономически эффективнее реализовать usb-host, чем на внешнем микроконтроллере?
Нужно подумать. А получится на один хост в контроллере подключить сразу несколько устройств?


А разве что-то не так с разводкой? 2-х сторонней платой частота 84МГц вполне нормально переваривается. SDRAM максимально приближенно к FPGA, может просто не хватает выравнивающих резисторов.Резисторы можно добавить. Но мне кажется, что есть что-то еще при работе на такой частоте, чего я не понимаю. А мне хочется, чтобы новая плата обладала максимальной стабильностью.


Вы какую планируете применить, обычную или fast?10нс

ILoveSpeccy
30.10.2013, 12:30
Но мне кажется, что есть что-то еще при работе на такой частоте, чего я не понимаю.
Вставлю свои 3 копейки... :wink:
Я не эксперт в этой части, но кой чего успел усвоить.

ИМХО:
Верхний слой в порядке, длина проводников не проблема, они очень короткие. Проблема в нижней стороне платы. Конкретно, земля от FPGA до памяти оборвана. Нужно как-то избавиться от проводников, помеченных красным цветом. Тогда и будет вам счастье!

Я это в очень скором времени проверю на практике, на своей плате нового Aeon'а. Планирую на 133-166MHz SDRAM завести.

Kota
30.10.2013, 14:15
Нужно подумать. А получится на один хост в контроллере подключить сразу несколько устройств?
Теоретически Usb-хабы решают эту проблему

Резисторы можно добавить. Но мне кажется, что есть что-то еще при работе на такой частоте, чего я не понимаю. А мне хочется, чтобы новая плата обладала максимальной стабильностью.
2-х сторонняя плата на 108МГц ведет себя замечательно и T80 там тоже присутствует, правда ПЛИС Spartan-3 от конкурентов. Интересно, старый Aeon на Spartan-е, может ILoveSpeccy подскажет на какой частоте он у него работает?

10нсВо, значит, fast. На мой взгляд, тут к трассировке требования жестче из-за большего потребления самих микросхем. Мне со статики пришлось перейти на SDRAM именно потому, что не смогли полностью побороть муарные помехи на выходе платы. А вот раскоряченная SDRAM в тех же условиях повела себя превосходно.

Проблема в нижней стороне платы. Конкретно, земля от FPGA до памяти оборвана. Нужно как-то избавиться от проводников, помеченных красным цветом.Так может бросить многожильный проводник, уровняв потенциалы этих земель?

ILoveSpeccy
30.10.2013, 16:14
Интересно, старый Aeon на Spartan-е, может ILoveSpeccy подскажет на какой частоте он у него работает?
В старом спартане только статика. И тактовал я её дo 65 MHz (в "корвете"). Быстрее не пробовал за ненадобностью.

---------- Post added at 12:54 ---------- Previous post was at 12:46 ----------


Так может бросить многожильный проводник, уровняв потенциалы этих земель?
Не всё так просто.
У меня есть один приятель, который работает в институте "Fraunhofer" в "отделе высоких частот" так сказать :smile: (делает радар на 30GHz) и от меня както посвещал в кой какие "тонкости" разводки таких плат. В двух словах: дело в том, что "ток" который течёт между FPGA и SDRAM ищет на плате самый короткий путь, и если по одному и тому же пути течёт дофига всякого, то сигналы сильно "засоряются". Думаю понятно. Так вот, попробуй на плате Syd'а провести "пути" тока от каждого вывода "GND" на SDRAM к выводам "GND" на FPGA к банкам, на которых "висит" SDRAM. Думаю сразу станет ясно где траблы.

---------- Post added at 13:14 ---------- Previous post was at 12:54 ----------

з.Ы. ещё очень важно питание. В идеале питание на каждый VCC-вывод должно проходить через блокировочный конденсатор.
На эту тему есть хорошие картинки с одного немецкого сайта.
Короче, вот так правильно:

http://www.lothar-miller.de/s9y/uploads/Bilder/Entkopplung_Fakten1.jpg


А так косяково...

http://www.lothar-miller.de/s9y/uploads/Bilder/Entkopplung_Falsch.jpg

На плате нового Aeon'a питание не совсем правильно разведено (как на средней нижней картинке), но на двух слоях по-другому не получилось у меня.
Вот на 4 слоях можно "идеал" состряпать, но платы дорогие...

Kota
30.10.2013, 16:15
В старом спартане только статика.Понятно, видимо перепутал еще с чем-то.

В двух словах: дело в том, что "ток" который течёт между FPGA и SDRAM ищет на плате самый короткий путь, и если по одному и тому же пути течёт дофига всякого, то сигналы сильно "засоряются". Думаю понятно. Так вот, попробуй на плате Syd'а провести "пути" тока от каждого вывода "GND" на SDRAM к выводам "GND" на FPGA к банкам, на которых "висит" SDRAM. Думаю сразу станет ясно где траблы.Идея ясна, с некоторыми оговорками с ней полностью согласен, вот и предлагаю внешним многожильным проводником увеличить межземельную проводимость SDRAM-FPGA.
По поводу картинок, думаю это и так всем понятно. На мой взгляд, в теории всегда все хорошо и картинки приводятся правильные, но почему-то в реальности как ни крути, не обойтись без компромиссов.

Я это в очень скором времени проверю на практике, на своей плате нового Aeon'а. Планирую на 133-166MHz SDRAM завести.Кстати, а почему в новом Aeon-е сменили xilinx на altera? Проигрышь по пинам Spartan-6 в корпусе xqfp?

ILoveSpeccy
30.10.2013, 21:12
Кстати, а почему в новом Aeon-е сменили xilinx на altera? Проигрышь по пинам Spartan-6 в корпусе xqfp?
В старом был Spartan 3, а Spartan 6 в новом Aeon Lite, который будет очень дешевой альтернативой Aeon'у. Конструктор Aeon Lite обойдется не более чем в 50 евро, но и возможностей поменьше.

Альтеру я использовал по 3-м причинам:

Больше логики в TQFP корпусе, до 40.000LE's против 9.000 (Spartan 6) или 10.000 (XC3S500E) у Xilinx
Больше I/O в корпусе TQFP (до 160) против 102 (Spartan 6) у Xilinx
Quartus мне больше нравится чем ISE :)

syd
30.10.2013, 23:26
Kota, Отдельное спасибо за наводку на хабы. Нашел такой - TUSB2046BVFR, цена вменяемая, есть в наличии. Таким образом поставив МК с хостом + хаб с четырьмя портами (клава, мышка, и два геймпада) можно отказаться от PS2 и DSUB разъемов.

---------- Post added at 23:26 ---------- Previous post was at 23:13 ----------

skyther, сейчас посмотрел внимательней, так у stm32f105 тоже USB хост есть. ;)

vlad
31.10.2013, 01:31
В двух словах: дело в том, что "ток" который течёт между FPGA и SDRAM ищет на плате самый короткий путь, и если по одному и тому же пути течёт дофига всякого, то сигналы сильно "засоряются"...
О развязке питания с примерами (http://habrahabr.ru/post/146987/)


Таким образом поставив МК с хостом + хаб с четырьмя портами (клава, мышка, и два геймпада) можно отказаться от PS2 и DSUB разъемов.
Это уже MIST (http://lotharek.pl/product.php?pid=96) получиться, не?
Можно взять и внешний Hub (http://www.ebay.com/bhp/mini-usb-hub), и не только на 4-ре порта...

syd, а что в nAeon (http://zx.pk.ru/showthread.php?t=21992) не устраивает, может объединимся и допилим сообща? Найдем оптимальное решение? Многим здесь уже хотелось бы заняться созданием новой конфигурации, и всем это проще было-бы сделать уже на одной плате.

syd
04.11.2013, 22:56
syd, а что в nAeon (http://zx.pk.ru/showthread.php?t=21992) не устраивает, может объединимся и допилим сообща? Найдем оптимальное решение? Многим здесь уже хотелось бы заняться созданием новой конфигурации, и всем это проще было-бы сделать уже на одной плате.
Тем, что у меня его еще нет. ;) А так всем устраивает.

Kota
05.11.2013, 13:25
Нашел такой - TUSB2046BVFRСознаюсь, я как Vlad, подумал о готовом устройстве. Хотел как-то применять TUSB2036, но дальше прикидок дело не пошло, заказчик поменял ТЗ.

можно отказаться от PS2 и DSUB разъемовВам решать, но это уже проверенное решение. А по хосту уже есть какие-то прикидки?

DDp
08.12.2013, 17:22
Тест SDRAM от lvd (http://dlcorp.nedopc.com/viewtopic.php?f=21&t=254&start=0) переделаный для Speccy2010.
(Версии для DE1(112MHz) и Speccy2010(84MHz) с выводом на VGA)

alexsan
05.01.2014, 20:08
а мне стрки кучка пришла правда цена космос но .....

---------- Post added at 19:08 ---------- Previous post was at 18:05 ----------

документацию в студию 2020 и отправим в массыприбор

luzanov
02.02.2014, 22:47
Ну, наконец широкое меню! Стало очень удобно для меня. Пока не запустил ничего из Радио-86 и демок. После выхода из настроек по ESC на телеке "сигнала нет". Приходиться сбрасывать вынимая вилку из розетки. Как правильно все сделать для запуска?
А так уж очень хотелось бы Радио-86, Орион, Ют-88. :)

andykarpov
02.02.2014, 22:57
Вобщем вот, с примитивным бутлоадером.
[...]
Да, архив больше 640к, поэтому на внешнем хостинге, извините.
http://rghost.ru/52135968
5 дней.

А можно ли попросить премногоуважаемого автора выложить исходники Ваших волшебных конфигураций, желательно в svn репозитарий проекта speccy2010? Думаю в отдельный бранч будет то, что надо. Чтобы была возможность во-первых развивать разные конфигурации платформы speccy2010, во-вторых не потерять то, что есть на сегодняшний день.

:v2_thumb:

Andrey F
13.02.2014, 22:12
Terazini, Можно вместо одного из джойстиков подключить реальный магнитофон. Я себе сделал.
http://www.zx.pk.ru/showthread.php?p=580525#post580525

acx
14.02.2014, 01:35
этот компьютер может писать файлы из конфига Сепектрума на сд карту?
в образ ленты или диска.
допустим написал я прогу на бейсике, можно её сохранить или этот девайс чисто как плеер работает??
Не совсем понятно, что означает "файлы из конфига Спектрума"?
Насчет ленты сейчас точно не вспомню, кажись эмуляция записи не реализована. А в TRD образ диска на SD карте пишет запросто. Плюс, как уже упоминалось, пишет/грузит снапшоты.
Как плеер, в смысле поиграть, еще как работает :)

Vasily
17.02.2014, 10:28
Сравнение абсолютно не корректно, абсолютно разный подход и аппаратная платформа. Если интересен только конечный результат - запуск програм спека, то проще воспользоваться эмулятором на PC.

Ewgeny7
17.02.2014, 23:33
Оффтоп заканчиваем.

Теразини, конечно, это звучит сродни Теплому Ламповому Звуку, но между эмулятором и симулятором есть таки разница для сердца спектрумиста. Спекки-2010 - это хардверный симулятор, а не программный эмулятор. Разного поля ягоды (для многих).

palsw
10.03.2014, 22:24
Как проверить мышку ?Еще есть какой адаптированный тест памяти погонять? запустил парочку любимых тестов - зависают сразу.

Den1982
11.03.2014, 15:25
palsw, вот этот пробовал? http://forum.tslabs.info/viewtopic.php?f=9&t=386
Правда hobeta, но можно в образ записать я так понимаю.
UPD: Вот, в анриле сохранил в trd.

Den1982
11.03.2014, 15:33
Как проверить мышку?
Запустить "Черный ворон" или "Веру":-)

norduk
11.03.2014, 15:40
Странная ситуация, может быть кто-нибудь сталкивался?
Есть speccy2010 и две SD-карты: SanDisk 1GB microSD c переходником и QUMO SD-hc на 4GB.

Комп собирал не сам, SanDisk с последними прошивками и ромами шёл в комплекте. Решил заменить на более ёмкую Qumo. Скопировал файлы с SanDisk - комп стартует, вроде бы всё отлично, НО, напрочь отказывается делать сохранения снапшотов по F11 и shift-F11. При этом, началось это с данной sd-шкой не сразу, до поры до времени всё работало, пока чёрт меня не дёрнул почистить её временно для других целей.

В итоге, после возвращения в спек с прошивками и ромами вроде бы абсолютно в том же виде начались вот такие странности. Ещё раз повторюсь - стартует всё отлично, не работает только запись (в т.ч. настроек в ini-файл).

Пробовал форматировать и так и эдак (Fat, Fat32) с разным размером кластера и в быстром режиме и в обычном. Не помогает ничего.

P.S. lock с sd-карты снят :)
P.P.S SanDisk работает отлично, на него запись происходит.

palsw
11.03.2014, 16:35
Вот и я нарвался на проблемы с работой SPECCY 2010.
Свеже собранный экземпляр артефачит в режиме SCORP,Pentagon.
работает норм только с времянками 48 spectrum и даже проходит тест UMT0.9.В игре River есть артефакты.
Память гонял прошивкой тестовой всю ночь -ошибок нет.Микросхему RAM менял - результата нет.
При заливки прошивки для 7N становиться еще хуже.Складывается впечатление,что эта партия альтеры не успевает - она не 8N ,а 9N как минимум.

http://i.piccy_.info/i9/967be75f4c66867a36ab01c55348f6a7/1394541227/12227/619634/20140311_142449_078_500.jpg (http://piccy_.info/view3/6047172/33980f4b219ef6de367ba386da35f81e/)http://i.piccy_.info/a3/2014-03-11-12-33/i9-6047172/471x354-r/i.gif (http://i.piccy_.info/a3c/2014-03-11-12-33/i9-6047172/471x354-r)
http://i.piccy_.info/i9/49a3582a983f12de23b1ae95d1c9da70/1394541248/14292/619634/20140311_142440_141_500.jpg (http://piccy_.info/view3/6047175/c2ccff436f3ae17ec8c209f04a0f2f01/)http://i.piccy_.info/a3/2014-03-11-12-34/i9-6047175/471x354-r/i.gif (http://i.piccy_.info/a3c/2014-03-11-12-34/i9-6047175/471x354-r)http://i.piccy_.info/i9/317f48f07a073bf0852f778fdf136f0f/1394541265/13214/619634/20140311_142528_422_500.jpg (http://piccy_.info/view3/6047178/863d4119356c5db0e9fcf78a1defc789/)http://i.piccy_.info/a3/2014-03-11-12-34/i9-6047178/471x354-r/i.gif (http://i.piccy_.info/a3c/2014-03-11-12-34/i9-6047178/471x354-r) http://piccy_.info/code2/6047181/f4ef063304ebb93f5fe6ad5fe8732384/


>Speccy2010 boot ver 1.1!
SD card init OK..
Skipping firmware upgrade.

Speccy2010, ver 1.0, rev 64 !
RTC init OK..
SD card init OK..
FPGA cofiguration file /speccy2010.rbf is opened...
FPGA configuration started...
FPGA configuration status OK...
..................................FPGA configuration conf done...
FPGA configuration finished...
Speccy2010 FPGA configuration found...
FPGA clock - 19.99861 MHz
FPGA PLL clock - 83.99416 MHz
ROM configuration started...
ROM configuration finished...
PS/2 keyboard init OK..
>

ram_scan
11.03.2014, 19:22
При заливки прошивки для 7N становиться еще хуже.Складывается впечатление,что эта партия альтеры не успевает - она не 8N ,а 9N как минимум.

Сомнительно. Исключительно седьмой бит корежится, похоже на волосы или утечку по шине данных.

Andrey F
11.03.2014, 19:29
norduk, Может на файлах стоит значок "риид онли"?

---------- Post added at 18:29 ---------- Previous post was at 18:26 ----------


Сомнительно. Исключительно седьмой бит корежится, похоже на волосы или утечку по шине данных.
Собирал две платы. Такое было перед тем, как я как следует отмыл плату.

norduk
11.03.2014, 20:10
norduk, Может на файлах стоит значок "риид онли"?

Проверено, дело не в этом. При попытках удалять/переименовывать в файл-
менеджере выдаёт ошибку FR_WRITE_PROTECTED.

Переставил sd-карты ещё раз, на гиговом сандиске успешно переименовывает и удаляет, на qumo - нет.

Фантастика какая-то :)

Может ли дело быть в неверно отформатированной sd-шке?

Andrey F
11.03.2014, 20:30
norduk, возможно дело в переключателе блокировки записи карточки.

point777
15.03.2014, 20:03
Вобщем вот, с примитивным бутлоадером.
[...]
Да, архив больше 640к, поэтому на внешнем хостинге, извините.
http://rghost.ru/52135968
5 дней.

Ребята, удалось кому с Радио86РК "поиграть" ? Как программу загрузить то туда? Какой-то хелп бы получить по данной прошивке.

luzanov
16.03.2014, 08:54
Это же порт с DE1, там sd-карта напрямую подключена к FPGA, а в Speccy2010 - к STR750, лично для меня сложно совместить несовместимое, хоть и было бы интересно, ибо 86РК мой первый из компьютеров, кстати до сих пор еще живой и рабочий.
А может сделать загрузку прямо с магнитофона/MP3 плеера? Или это тоже невозможно.

ILoveSpeccy
21.03.2014, 12:14
Как раз поэтому я в эоне поставил мультиплексор, который позволяет выбирать, кто будет "мастером" для sd-карты и spi-флэша. В зависимости от конфига в ini-файле микроконтроллер или сам имеет доступ, или отдаёт его fpga. Я тоже думал подключить fpga и микроконтроллер к sd-карте одновременно, но тогда очень высока вероятность того, что оба будут мастерами одновременно и тогда,как говорится, выживет сильнейший (а слабый откинет копыта в прямом смысле :) )

ILoveSpeccy
21.03.2014, 12:47
Speccy2010 изначально задумывался как клон спектрума. Поэтому такое решение понятно. У меня задумка другая: портирование готовых проектов с возможностью выбора конфигурации налету. А так как очень много проектов используют прямое подключение карты к ПЛИС, выбор был очевиден: мне понадобятся обе возможности. В теории мне ничто не мешает портировать speccy2010 на эон, вместе с прошивкой контроллера. Места в пике более чем достаточно.

point777
21.03.2014, 12:51
В теории мне ничто не мешает портировать speccy2010 на эон, вместе с прошивкой контроллера.

Чем Спекки2010 плох? По-моему мнению это один из самых удачных клонов в последнее время.

Кстати, линк в твоей подписи ведет на хостера.

ILoveSpeccy
21.03.2014, 13:05
Чем Спекки2010 плох? По-моему мнению это один из самых удачных клонов в последнее время.

Кстати, линк в твоей подписи ведет на хостера.

Я тоже считаю спекки2010 удачным проектом, или даже своего рода показательным, каким должен быть подобный проект. Я имел в виду вообще возможность портирования.

А сайт мой ломали 2 раза, я всё стёр пока, нет времени в порядок привезти.

demetrius2003
12.04.2014, 21:10
palsw,

скачал архив Speccy2010-bin_poopisan_experimental_all_in_one.7z

в нем есть папка experimental_and_16col_pentagon_mode заливаю прошивку для 8N так же есть небольшой мусор на экране,далее заливаю 7N и все работатет -RIVER,тест памяти ,версия скорпа,еще там чего то запускал - везде все красиво.

Это доказывает что проблема не в железе.Как самому скомпилировать прошивку 64-ю стандартную может получиться без артифактов сделать ?

Кхм, ну что сказать. 64 прошивка. Такое же поведение. 48 режим - всё ОК!
P.S.: Альтернативные прошивки не работают вовсе (по-моему там просто нет VGA).

demetrius2003
13.04.2014, 11:05
Прошивка Speccy2010_fpga_revision66_recompiled.zip артефактов не даёт ни в одном из режимов. Единственное - самая стабильная работа на x8, x4 и x2. При None конфигурация Scorpion 256 с таймингами Scorpion запускается иногда не с первого раза. Игра River (или как её там?) идёт вроде без проблем. И да! Что-то плохо работает gluk service. Т. е. сам то он работает, но на экране - случайный мусор, который меняется при перезагрузке (на те артефакты в виде одиночных полосок совсем не похож).

palsw
13.04.2014, 12:12
demetrius2003,
И да! Что-то плохо работает gluk service. Т. е. сам то он работает, но на экране - случайный мусор, который меняется при перезагрузке (на те артефакты в виде одиночных полосок совсем не похож).



это нормальное явление

demetrius2003
13.04.2014, 13:04
Вот вот. Глюк в виде двух экранов. Один нормальный - а другой как уменьшенная копия показывается зелёным в правом верхнем углу (в большинстве случаев так). Я его вообще убрал. Чтоб глаза не мозолил.

demetrius2003
14.04.2014, 05:27
Всё же рано я радовался. Даже с этой, на первый взгляд, безглючной прошивкой Спек ведёт себя очень нестабильно. Безглючно она работает только при работе ПЗУ (и то не всегда). Что-либо грузится исключительно в х8 режиме, в других - постоянные ошибки с памятью и с вводом-выводом проблемы (якобы нечитающиеся сектора и ошибки ленты). Если раз двадцать-тридцать нажать на сброс, то будет видно, что примерно в 5 случаях загрузка ПЗУ проходит неверно (кривая картинка, атрибуты мусором заполнены и т. д. и т. п.). Причём ошибок гораздо больше в режиме None (в None Scorpion не работает вообще), чуть меньше в х2, потом х4. Самый стабильный вариант - х8! В общем, целый букет неприятностей. Хотелось бы разобраться. Что уже сделано: пропаяна ПЛИСина, ОЗУ. Просмотрел пайку под микроскопом. Контакт гарантированный. Плата помыта на н-дцать раз. Следов чего-либо не видно (разве только под МС, но поднимать их буду в последнююю очередь). Проверил питающие напряжения, всё в норме не просаживаются, гадости по питанию не прилетает. В ближайшее время поменяю БП, СД-карту.

Вопрос к сообществу собственно - Что ещё можно предпринять, чтобы локализовать проблему?

P.S.: Предложение ко всем тем, у кого платка глючит. Напишем сюда данные с ПЛИСины, МК и ОЗУ. Может партия какой то из ИМС кривая? Уж не знаю, что предположить.

vlad
14.04.2014, 13:23
Смотрим на Speed Grade (http://www.altera.com/devices/fpga/cyclone2/overview/cy2-overview.html) (маркировка 8N, 7N... (https://encrypted-tbn2.gstatic.com/images?q=tbn:ANd9GcTLV5CNJUSqtV-pC6AXsf4ARBHQmcm0hcJuhPUNftAHwP5pe516YQ)). Обычно решается перекомпиляцией проекта под конкретный чип grade. Но это не всегда помогает, если не проводится временной анализ проекта (http://fpga.in.ua/fpga/cad-pld/basic-quartus/lr6-vremennoj-analiz-proekta.html). Проблема похожа на эту (http://zx.pk.ru/showpost.php?p=684102&postcount=1061) или в плохой пайке (не вымытый флюс, непропай...). Так-что для начала рекомендую проверить качество пайки, соответствие установленных элементов согласно схемы и попробовать залить другие конфигурации для выяснения причины такого поведения.

vlad
14.04.2014, 13:51
проблема в галимых китайских плис - или фейк или такое качество изначально
У меня на плате тоже галимая плис. Была только одна проблема с N7, решилась перекомпиляцией проекта для N8 и все.

demetrius2003
14.04.2014, 15:11
Я же писал. Поведение прошивок - пару постов вверх. Качество пайки проверялось в первую очередь. Потом плюс ко всему пропаивалось всё. Сейчас паечка блестящая как слезинка комсомолки, и под микроскопом бинокулярным выглядит изумительно. Плата мытая спиртом (знал бы кто, сколько туда извёл!). Мытая не один раз. Там уж всё стерильно (сколько чинил техники - ни разу так тщательно не отмывал!). Изменений абсолютно никаких! Поэтому вот, построчно:

МК:
STR750FV2T6
Y
22 B30 VG
MLT 22 321

ПЛИС:
ALTERA
CYCLONE2
EP2C8Q208C8N
K BBB9Y0837A
VBB9Y33114
3R3KA8S0C

ОЗУ:
SAMSUNG 123
K4S561632J-UC75
S5616 WRG269PZN

Номиналы буду проверять. И вопрос - где взять прошивку тестирующую память?

palsw
14.04.2014, 15:45
demetrius2003,
И вопрос - где взять прошивку тестирующую память?

http://zx-pk.ru/showpost.php?p=650090&postcount=1309

demetrius2003
14.04.2014, 17:57
Ребята! Сколько надо тест гонять? Уже скоро четвёртые разряды меняться начнут. Красные цифры по нулям.

demetrius2003
14.04.2014, 19:59
Ну так надо конечно!

demetrius2003
14.04.2014, 20:39
Можно дурацкий вопрос? Ежели у меня тест отработал на частоте 84 МГц несколько часов (я его уже выключил, я спать хочу) и ни разу не сбойнул, то это говорит о том, что с ОЗУ в моей машинке всё ОК? Значит проблема действительно не аппаратная, а программная? Ведь артефакты то лезут ежесекундно! ПЛИСина мне такая досталась?

demetrius2003
15.04.2014, 10:18
Погонял несколько часов тест 120 МГц. Красные цифры по нулям.

zebest
15.04.2014, 11:16
Погонял несколько часов тест 120 МГц. Красные цифры по нулям.
Ля. ну вообще хорошо же с памятию. У меня на 120 - нет-нет. да проскочет ошибка..
На 105 - ровно, несколько часов без ошибок.


Поэтому вот, построчно:


МК:
R755FV2T6
Z
22 OEU VG
MLT 22 735
ПЛИС:
ALTERA
CYCLONE2
EP2C8Q208C8N
K BBB9Y1025A
VBB9Y4BB40
3S3KA000C

ОЗУ:
SAMSUNG 746
K4S281632J-UC75
S5616 WRG269PZN

Из чего следует, что у тебя памяти на плате в 2 раза больше (32).
Тест строго под определенное количество компилится, значит у тебя по идее половина проверяется, первые 16.Но это не важно , все равно верхнюю память никто не использует, вроде как

demetrius2003
14.05.2014, 13:35
Ребята! Ну что, есть какие подвижки с синхронизацией? Глючит же! Не выложит ли кто старые прошивки? Может они помогут?

fifan
14.05.2014, 16:35
Ребята! Ну что, есть какие подвижки с синхронизацией? Глючит же! Не выложит ли кто старые прошивки? Может они помогут?
С какой версии нужны?

palsw
24.06.2014, 22:54
Есть проблема в запуске платы rev1.
Доработка генератора 20мгц выполнена.
Доработка питания tda1543 выполнена.

Бут прошит,лог запуска


Speccy2010 boot ver 1.1!
SD card init OK..
Firmware upgrade started.
.............................Firmware upgrade finished.
Speccy2010 boot ver 1.1!
Firmware upgrade started.
.............................Firmware upgrade finished.
Speccy2010 boot ver 1.1!
Firmware upgrade started.
.............................Firmware upgrade finished.
Speccy2010 boot ver 1.1!
Firmware upgrade started.
.............................Firmware upgrade finished.
Speccy2010 boot ver 1.1!
Firmware upgrade started.
.............................Firmware upgrade finished.
Speccy2010 boot ver 1.1!
Firmware upgrade started.
.............................Firmware upgrade finished.

20 мгц на альтеру есть,питание 3,3 и 1,24 есть.

Карточка приготовлена правильно - с нее же работает вторая плата без проблем.
ток потребление не рабочей платы меньше во всех режимах на 50мА.
на разьеме XP4 нет активности на сигналах data0,dclk,config done.
сигналы с разьема на стр и альтеру звоняться
раб плата
1.Бут 99ма
2.Норм без карты 160ма
3.норм загрузка с карты 190ма
4.Рабочий режим 260ма

не раб плата
1.Бут 61ма
2.Норм без карты 110ма
3.норм загрузка с карты 140ма
4.Рабочий режим --- ???

palsw
25.06.2014, 14:32
Китайские "друзья" прислали дохлую TDA1543.Рабочая микросхема при питании 5в потребляет сразу 50ма.У меня же в плате впаянная была холодная и потребление 0.Вот теперь обе платы по потреблению идентичны .

раб плата
1.Бут 99ма
2.Норм без карты 160ма
3.норм загрузка с карты 190ма
4.Рабочий режим 260ма

не раб плата
1.Бут 115ма
2.Норм без карты 165ма
3.норм загрузка с карты 199ма
4.Рабочий режим --- ???

balu_dark
25.06.2014, 16:40
Странные иголки на сигнале!
А керамика и остальные кондеры - все стоят ?
От чего питаются платы ?
Плата отмыта нормально от флюса ? Поднять генератор - вымыть все под ним и поставить на место.

palsw
25.06.2014, 16:50
zebest, хорошая идея -начну с нее.думал отделаюсь мелким залипоном или не пропаем.Правда не спец в квартусах.Паять разьем JTAG альтеры как я понял.

Платки 2 - одна работает но артефакты сыпит на изображении и одна свеже спаянная с другой партии микросхем вообще молчит.платы вымыты .иголки начал давать сам осциллограф последнее время.Питаю от трансформаторного БП обе платы.остальные девайсы работают от него нормально.

Фото рабочей платы Speccy2010.r1
http://i.piccy_.info/i9/ee721d8ba4196b6a527d4046dc5f3464/1403700816/34635/758237/IMG_0209_500.jpg (http://piccy_.info/view3/6604551/e8c9193133999e459d0c3288228ff9e5/)http://i.piccy_.info/a3/2014-06-25-12-53/i9-6604551/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-06-25-12-53/i9-6604551/472x354-r)

http://i.piccy_.info/i9/d5be32a9e7895879bf4522e8cd2614e0/1403794476/36554/758237/IMG_0221_500.jpg (http://piccy_.info/view3/6611788/8b30fff1ffacec6a157202acb3e68d8a/)http://i.piccy_.info/a3/2014-06-26-14-54/i9-6611788/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-06-26-14-54/i9-6611788/472x354-r)

картоприемник пришлось по-колхозить немного

Andrey F
25.06.2014, 20:32
Только шить надо другие файлы, совсем с другим расширением. тут точно не скажу, возможно .sof, .pof или какие нить .jic
Зашить может не получится. STR зашивает в альтеру файл .rbf. Он на карточке должен быть. После определенных тестов. Например, часов, картридера. Если что-то не так, возвращается в начало. Может и не работать сама STR-ка. Такое бывало у людей здесь.

balu_dark
26.06.2014, 13:38
Ну теперь осталось проверить цоколевку и отсутствия коротышей под картоприемником и заменить еще 2 кондера вместо резисторов в обвязке карты или контроллера :)

palsw
26.06.2014, 14:32
Выловил интересный момент
рабочая плата без карты делает так


Speccy2010 boot ver 1.1!
SD card init error :(

Speccy2010, ver 1.0, rev 64 !
RTC init OK..


выпаял нафиг часики с рабочей платы - все так же RTC init OK..

на дохлой карте картина другая -


SD card init error :(
Speccy2010 boot ver 1.1!
SD card init error :(
Speccy2010 boot ver 1.1!
SD card init error :(
Speccy2010 boot ver 1.1!
SD card init error :(
Speccy2010 boot ver 1.1!
SD card init error :(
Speccy2010 boot ver 1.1!
SD card init error :(
Speccy2010 boot ver 1.1!
SD card init error :(
Speccy2010 boot ver 1.1!
SD card init error :(
Speccy2010 boot ver 1.1!
SD card init error :(
Speccy2010 boot ver 1.1!
SD card init error :(
Speccy2010 boot ver 1.1!
SD card init error :(
Speccy2010 boot ver 1.1!
SD card init error :(
Speccy2010 boot ver 1.1!
SD card init error :(
Speccy2010 boot ver 1.1!

point777
26.06.2014, 15:42
и еще где взять исходник прошивки спекки2010 что самому скомпилировать прошивку?

Используя GIT:
https://code.google.com/p/speccy2010/source/checkout

svn checkout http://speccy2010.googlecode.com/svn/trunk/ speccy2010-read-only

balu_dark
26.06.2014, 16:41
Выложи сейчас фотку со стороны деталей. На прошлой фотке на месте под альтерой левее стабилизатора - у тебя 2 резистора а должны быть 2 емкости - ты их имел ввиду ?
Просто более свежие фотки помогут ориентироваться.
На транке кстати есть обе фотки собранной платы.

palsw
26.06.2014, 17:20
правильный лог при загрузке 64й прошивки


Speccy2010 boot ver 1.1!
SD card init OK..
Skipping firmware upgrade.

Speccy2010, ver 1.0, rev 64 !
RTC init OK..
SD card init OK..
FPGA cofiguration file /speccy2010.rbf is opened...
FPGA configuration started...
FPGA configuration status OK...
..................................FPGA configuration conf done...
FPGA configuration finished...
Speccy2010 FPGA configuration found...
FPGA clock - 19.99584 MHz
FPGA PLL clock - 83.98254 MHz
ROM configuration started...
ROM configuration finished...
PS/2 keyboard init OK..
PS/2 mouse init OK..
>


правильный лог без карты памяти

Speccy2010 boot ver 1.1!
SD card init error :(

Speccy2010, ver 1.0, rev 64 !
RTC init OK..
>

palsw
26.06.2014, 19:28
рабочая плата
прошивка 140 мгц sdram test (ошибки сплошные)

прошивка 120 мгц sdram test (ошибок нет)

прошивка 100 мгц sdram test (ошибок нет)

===================================

zebest
26.06.2014, 20:45
глючнаЯ плата
прошивка 140 мгц sdram test (ошибок нет)

прошивка 120 мгц sdram test (ошибки есть но не часто)

Это как?? на 140 без ошибок, а на меньше - ошибки??
Вообще то это SDRAM - в номинале как бы 133, и то, при правильных времянках и правильно разведеная.. Поэтому если на 100-120 работает - это уже хорошо.
Мой Speccy при 120 иногда сыпет ошибки, на сто - ровно.

И да, если уж тест делаешь для себя - там можно компилить 16\32 Мб, понятно, что вся память не используется, но все же...

palsw
26.06.2014, 22:44
STR750 я победил!

Тут я думаю дай прошью прошивку старым способом по USB.сделал батник и загрузил.И о чудо - лог правильный и с карты нормально загружаются файлики.
http://i.piccy_.info/i9/23fcad0cfe0641143b115bb06e431870/1403810064/25773/758237/but_proshyvka_500.jpg (http://piccy_.info/view3/6613770/b2ae998c8388024bf166172e74016deb/)http://i.piccy_.info/a3/2014-06-26-19-14/i9-6613770/500x249-r/i.gif (http://i.piccy_.info/a3c/2014-06-26-19-14/i9-6613770/500x249-r)

demetrius2003
27.06.2014, 07:28
palsw, я Вас правильно понимаю? Артефакты в режиме Скорпа и т. д. так и не побеждены? Замена Альтеры ничего не дала?

palsw
27.06.2014, 10:47
demetrius2003, Не побеждены :)
Замена Альтеры,СТР750,Драм,кварца,о� �вязки кварца,обвязки ПЛЛ,мойка,сушка,проверка пайки - нечего не дала.

point777
27.06.2014, 14:25
palsw,

выложи пожалуйста r-type.scl, который в 48к глючит.

palsw
27.06.2014, 14:30
point777, https://yadi.sk/d/3ztOfip2V5Xo2

в архиве
river и r-type на нормальной плате работают без проблем

IanPo
29.06.2014, 14:58
palsw, если такие критические ошибки возникают, то ПЛИС не сможет обеспечить заданные в .sdc времянки во всех случаях. Заливать такое в ПЛИС не стоит.

demetrius2003
18.08.2014, 16:34
palsw, ну как, удалось победить артефакты? Или все по-прежнему?

balu_dark
18.08.2014, 17:58
ковровый прогрев с перемонтажом всех чипов проверкой на замыкания между дорогами и смывом флюса под чипами - должен помочь.

demetrius2003
18.08.2014, 18:03
ковровый прогрев с перемонтажом всех чипов проверкой на замыкания между дорогами и смывом флюса под чипами - должен помочь.

Было уже. Не помогает. Да и замыкания между дорогами как то не так выглядят. Тут с клавиатуры систему резетишь - и в половине случаев всё робит, а в другой половине - артефакты.

balu_dark
18.08.2014, 18:38
ну тогда батенька - только кривость ваших рук не позволяет ему работать.
Раз тесты проходят а не работает!
Электроника это такая вещь которая или работает или нет и полурабочей быть не умеет по определению. только 0 или 1 ибо. поэтому и вариантов тоже 2 - или таки вы не видите в упор косяк на плате( раз все заменено) или юзаете голимый флюс - который на высоких частотах дает утечку паразитную или кривыми руками собираете прошивку. Других вариантов нет - ну разве что все 3 вещи у вас происходят одновременно.

Поэтому про чукчей - не по адресу. ( Тем более я не буду каждый раз пролистывать топик назад для того чтобы посмотреть 2х месячные посты)

demetrius2003
18.08.2014, 19:23
ну тогда батенька - только кривость ваших рук не позволяет ему работать.
Раз тесты проходят а не работает!
...

Понимаете в чём дело. Причина ошибки пока не ясна. Но тот факт, что ошибка проявляется с завидным постоянством у людей, которые не имеют меж собой ничего общего, наводит на мысль , что мы столкнулись с систематической ошибкой. Заметьте! Люди из разных уголков постсоветского пространства, с разной квалификацией в области электроники, с разными чипами на плате видят одно и то-же: http://zx-pk.ru/showpost.php?p=686907&postcount=1320
Вот задача то собственно и состоит в том, что-бы понять, что же в конце концов происходит!

balu_dark
18.08.2014, 19:55
Понимаете в чём дело. Причина ошибки пока не ясна. Но тот факт, что ошибка проявляется с завидным постоянством у людей, которые не имеют меж собой ничего общего, наводит на мысль , что мы столкнулись с систематической ошибкой. Заметьте! Люди из разных уголков постсоветского пространства, с разной квалификацией в области электроники, с разными чипами на плате видят одно и то-же: http://zx-pk.ru/showpost.php?p=686907&postcount=1320
Вот задача то собственно и состоит в том, что-бы понять, что же в конце концов происходит!

Вообще то это один и тот же человек! и дата поста 11.03.2014.

ZXFanat
18.08.2014, 20:39
Чего вы делите? Всего то, найти время посмотреть ошибки монтажа. А на личности не стоит переходить. Тем более, политика запрещена. А переход на личности, это как обсуждение политики. По мне, есть совет, посоветуй, нет совета, не пиши.

balu_dark
18.08.2014, 20:57
Чего вы делите? Всего то, найти время посмотреть ошибки монтажа. А на личности не стоит переходить. Тем более, политика запрещена. А переход на личности, это как обсуждение политики. По мне, есть совет, посоветуй, нет совета, не пиши.

Именно по тебе - я посоветовал в первом своем посте с утра - снять все чипы и просмотреть под микроскопом все. сто пудово где то залипон, раз чип был снят с заведомо рабочей другой платы.
А причем тут политика - так насчет чукча писатель - как раз к фанату - ибо политики тут не было до твоего появления.

На своей U10 я нашел 2 или 3 перетрава - тупо не были протравлены дорожки - были соединения между.

demetrius2003
19.08.2014, 05:57
Вообще то это один и тот же человек! и дата поста 11.03.2014.

Я живу в Новокузнецке, это Сибирь, palsw по-моему на Украине живёт. А если тему почитать, да с людьми пообщаться, то можно встретить и ещё немного случаев. И все мы видим одно и тоже!

balu_dark
19.08.2014, 09:32
Я живу в Новокузнецке, это Сибирь, palsw по-моему на Украине живёт. А если тему почитать, да с людьми пообщаться, то можно встретить и ещё немного случаев. И все мы видим одно и тоже!

palsw давное не отписывался поэтому и не вижу.
Что до проблемы - а версия платы у вас одинаковая ? если да - можно ли макрофотку платы с обоих сторон выложить в отличном качестве и при хорошем освещении - может глазками увижу косяк какой.
Мне проще просто - я такие вещи паяю под микроскопом и контролирую плату до и после пайки. Именно так выявил косяк в zx-evo у которого под плисиной при пайке одна дорожка сдвинулась и соеденилась с соседней. а без микроскопа такие баги фиг найдешь.

Просто если у вас всех плата одного выпуска и одной модели - есть основание думать что косяк именно в плате.

demetrius2003
19.08.2014, 10:10
Макро конечно сложновато сделать за неимением нормального фотоаппарата. Сканирование не подойдет? Вообще брал я микроскоп. Насколько мне позволил мой опыт ремонтника - ничего криминального я там и не заметил. Если полистать пару страниц назад, то выяснится, что моя плата ведет себя по-разному с разными прошивками. Прошивка от нашего зарубежного друга - самая стабильная во всех режимах. Родная прошивка тоже ничего, но только в режиме 48К. Скорпион глючит чуть более чем всегда. Пентагон - нечто среднее меж ними. Как то так.

demetrius2003
19.08.2014, 11:45
palsw, вот не хотелось бы ворошить... Ваша глючная платка откуда пришла? Может дело действительно в печатных платах? Вроде как СТР в работе самого "эмулятора" не учавствует? У нее только сервисные функции?

demetrius2003
24.08.2014, 16:13
Ребята! Пробуем! Прошивка 048 работает на глючных платах ОЧЕНЬ СТАБИЛЬНО! Артефактов на этой прошивке не наблюдается вообще. Может еще повыше по ревизиям прошивок забраться, но у меня других нет.

palsw
15.10.2014, 12:04
Редиски ленивые ,а не кодеры - пришлось делать хирургическое вмешательство

тест работает
http://i.piccy_.info/i9/e0276859477814d69839780f14755f63/1413358489/10738/758237/20141015_103243_625_500.jpg (http://piccy_.info/view3/7122758/6d8ce6115841dd9b191fbcb668046fb3/)http://i.piccy_.info/a3/2014-10-15-07-34/i9-7122758/471x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-15-07-34/i9-7122758/471x354-r)
кубиков нет
http://i.piccy_.info/i9/adf1afc6baabb8323cdb41d2010137ac/1413358574/12932/758237/20141015_103541_750_500.jpg (http://piccy_.info/view3/7122762/394b18f9eae9fbe436f37e12fa983472/)http://i.piccy_.info/a3/2014-10-15-07-36/i9-7122762/471x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-15-07-36/i9-7122762/471x354-r)
каши из букв нет\
http://i.piccy_.info/i9/08920268a8b24c28f73669c28999e3b5/1413358641/14988/758237/20141015_103701_312_500.jpg (http://piccy_.info/view3/7122765/f46ee588ae39c4d593b3519495f170cf/)http://i.piccy_.info/a3/2014-10-15-07-37/i9-7122765/471x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-15-07-37/i9-7122765/471x354-r)

river raid 48к тапка оригинальная + сеговый джойстик очень удобно играть :)
http://i.piccy_.info/i9/ca831b0ab6bc22265368953a8ac1c511/1413360404/13886/758237/20141015_104502_875_500.jpg (http://piccy_.info/view3/7122932/f66d64d0d47b8fb3d5817920ffb1ec26/)http://i.piccy_.info/a3/2014-10-15-08-06/i9-7122932/471x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-15-08-06/i9-7122932/471x354-r)

balu_dark
15.10.2014, 13:43
Хирургия - рулит! а последняя картинке бест фром зе бест!
У меня просто еще первая ревизия спека - там только с генератором беда была да с парой тройкой залипух и перетравов. Но новые конфиги я туда не лил.

balu_dark
15.10.2014, 14:19
а она вроде в 8ку альтеру не влезет.

balu_dark
15.10.2014, 15:14
Да ну :) Форум поддержки (ссылка (http://forum.tslabs.info/index.php)).

А ткнуть именно в данные о занимаемом месте ? побродил по форуму но ни в железе ни в софте ни в факе - не нашел. или скрин среды с данными компиляции плиз. чтобы не блуждать.

solegstar
15.10.2014, 15:22
Редиски ленивые ,а не кодеры - пришлось делать хирургическое вмешательство
ты б написал, что нужно сделать, народ бы и откликнулся. zebest, например. что ты там резал?

palsw
15.10.2014, 15:27
solegstar, кто хотел уже в личку отписался и получил информацию :)

я ен пишу тут ,что бы раньше времени не началась резня бензопилой -может все получиться программно

palsw
15.10.2014, 22:12
Готовьте ножи и топоры!
Резанины не избежать!

беременным и детям не смотреть!


1.Разрезать дорожку CLK снизу платы и впаять резистор 50-100 ом в разрыв.
Я впаял 91 ом размером 0805


http://i.piccy_.info/i9/cbd02883cc08349b5de812729b355871/1413396390/25528/758237/IMG_0429_500.jpg (http://piccy_.info/view3/7126196/7c5b9e9944eb8ac2b84c0b69c364d19a/)http://i.piccy_.info/a3/2014-10-15-18-06/i9-7126196/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-15-18-06/i9-7126196/472x354-r)

2.включить спекки2010 и переключить на режим Scorpion 256. Убедиться в отсутствии атрибутных артефактов
3.переключить режим Пентагон 1024 и запустить тест AUMT.SCL .Выбрать режим 1мб озу и нажать 0
http://zx-pk.ru/showthread.php?t=12881&highlight=AUMT

http://i.piccy_.info/i9/3c366268b4b18da4560040225c41d24d/1413440173/21650/812390/IMG_0436_500.jpg (http://piccy_.info/view3/7127750/66aec4fee26bff23924d8a58633a9fdd/)http://i.piccy_.info/a3/2014-10-16-06-16/i9-7127750/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-16-06-16/i9-7127750/472x354-r)

palsw
18.10.2014, 15:15
Speccy2010 r2 на FPGA EP2C8Q208C7N, файл rbf взят с компакт-диска с датой 31.10.2011,
демка Робус-а 'OSCOSS (http://zxaaa.untergrund.net/OTHER/aaa_demo_party_2013_pack_preview.rar)', занявшая первое место на прошедшем 'AAA DEMO PARTY 2013' в требуемой конфигурации ROM/RAM = Pentagon, Тайминги = Pentagon в части с готишной девочкой впадает в мертвый цикл: играет музыка, летят кавадратики, картинка не формируется.
Печалька :(


http://i.piccy_.info/i9/63a1918edd234fefc6c3fe00dee3b5cb/1413630160/10697/758237/20141018_135224_181_500.jpg (http://piccy_.info/view3/7139666/f8235f309c752219c1396bcdc9afa0c6/)http://i.piccy_.info/a3/2014-10-18-11-02/i9-7139666/471x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-18-11-02/i9-7139666/471x354-r)

Музыка играет ,а картинка не рисуется.

Замените файл прошивки из этого сообщения http://zx-pk.ru/showpost.php?p=580271&postcount=1225


http://i.piccy_.info/i9/16dfecf8a441602879b0df237e233e58/1413630583/17946/758237/20141018_140134_259_500.jpg (http://piccy_.info/view3/7139717/2658af8593c3b095e5914b871a9d3112/)http://i.piccy_.info/a3/2014-10-18-11-09/i9-7139717/471x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-18-11-09/i9-7139717/471x354-r)


http://i.piccy_.info/i9/1cd656b7450e56d6ba466cdeb2b6d1f1/1413630937/16628/758237/20141018_141418_462_500.jpg (http://piccy_.info/view3/7139744/d0108b58e8da2220d14ee24d6fce3a09/)http://i.piccy_.info/a3/2014-10-18-11-15/i9-7139744/471x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-18-11-15/i9-7139744/471x354-r)

SoftLight
20.10.2014, 00:03
замените файл прошивки из этого сообщения http://zx-pk.ru/showpost.php?p=580271&postcount=1225
сейчас часть уже работает

Сегодня поиграл с этой прошивкой. Кстати, так это ревизия 66 или 64 как в меню пишет?
Реально мистика, сломал весь мозг пока добился результатов.
При использовании rbf из архива получаю сбойную память, как пишут многие выше: квадратики, точки, сбросы. Ладно думаю, скачаю архив для C7. Результат тот же. Поставил Квартус 2 Web Edition 13sp1, скачал пакеты для второго Циклона, все поставил. Стал компилить в версии x64 под C7. Получаю 32 warnings, заливаю полученный файл на карту, старт и снова артефакты и сбои. Два часа честно бился компиля то по C8 то под C7.

В конце уже от отчаяния стартанул квартус с ярлыка x86, компилю под C7, пробую. Короче, получаю те же 32 warnings но: при это работает все идеально. Все недокументированные команды ok, пашет OSCOSS и ни одного сбоя, вот как! Как так может быть не понятно.

point777
20.10.2014, 07:50
Тогда можно будет и РК86 доделать.


:v2_dizzy_punk::v2_thumb::v2_dizzy_heart:

piroxilin
20.10.2014, 13:57
MVV, для TDA1543А тоже нужна прошивка...

piroxilin
20.10.2014, 18:52
zebest, В родной фирмваре от спеки 2010 если в биосе выбрать 1543 а не 1543А то небыло звука. Видимо както отличаются...

zebest
20.10.2014, 23:07
Собственно вот:
http://savepic.net/6145571m.jpg (http://savepic.net/6145571.htm)
Это сама конструкция, по мне так легкая и технологичная.
Можно конечно было сделать по солидномуу - шилд с печаткой и разъемом,
но для пробы - самый раз.
Если поставить полноразмерный держатель SD - то можно друг над другом - бутербродом)) Под разъемом - 4 резанных дорожки, резисторов никаких.
http://savepic.net/6151715m.jpg (http://savepic.net/6151715.htm)
Меню выбора конфы
http://savepic.net/6139427m.jpg (http://savepic.net/6139427.htm)
Файловая система
http://savepic.net/6136355m.jpg (http://savepic.net/6136355.htm)
Экзорцист:v2_dizzy_priest:

Из текущих минусов - звука нет. (уже есть и звук)
Оригинальная версия конечно b2m с переделками под SDRAM ivagor-а,
но не самая последняя версия вроде как.

ах да, пины:
set_location_assignment PIN_4 -to SD_CLK
set_location_assignment PIN_6 -to SD_CMD
set_location_assignment PIN_208 -to SD_DAT
set_location_assignment PIN_10 -to SD_DAT3
или так

input SD_DAT, // SD Card Data (MISO) 7
output SD_DAT3, // SD Card Data 3 (CSn) 1
output SD_CMD, // SD Card Command Signal (MOSI) 2
output SD_CLK ); // SD Card Clock (SCK) 5

IanPo
20.10.2014, 23:14
zebest, 1543 I2S и 1543А Japan input отличаются способом подачи битов данных.

palsw
20.10.2014, 23:39
К стати, как получить доступ к SD и PS/2 на Speccy2010? Собрал прошивку TS-Conf, смотрю, а вся периферия завязана на МК ?!


С RTC тоже облом, завязан на MK Пропускаем...


ну ps\2 вроде напрямую в плиску, как положено, а вот SD- да(((

вот тут не согласен -зря нагоняете на SD карту.Если бы ее автор прикрутил к Альтере напрямую то кто бы загружал и откуда эту самую Альтеру? городить конф пзу и другое.

так что предлагаю сделать маленькую платку с SD картой и даже м.с. часов - пинов то дофига свободных на гребенке.так что спешить не нужно и прикинуть как будет удобно развести сигналы от пинов на печатке ЛУТ одностороней.

palsw
21.10.2014, 00:04
предлагаю такой стандарт - что бы не резть и не портить внешний вид платы .
универсальный разьем GPI
Используем
1. 3,3в =XP1
2.GND=XP12 пустышку снизу платы мгтф кидаем на корпус в удобном месте.

3.сигналы можно использовать все кроме LEFT7,LEFT6,LEFT5 (2,4,6 пины).

http://i.piccy_.info/i9/133f844606651e21a99651c3f12f86fb/1413835317/32518/758237/Bezymiannyi_500.jpg (http://piccy_.info/view3/7152994/9ac51da6ada01d123d382da94e277bab/)http://i.piccy_.info/a3/2014-10-20-20-01/i9-7152994/500x236-r/i.gif (http://i.piccy_.info/a3c/2014-10-20-20-01/i9-7152994/500x236-r)

обсужадем

---------- Post added at 23:04 ---------- Previous post was at 23:02 ----------

zebest,


SD_CS_N, RIGHT0[pin_10];
SD_MOSI, RIGHT1[pin_6];
SD_MISO, RIGHT4[pin_208];
SD_CLK, RIGHT2[pin_4];

для нормального девайса еще не хватает 2х сигналов.делать так делать уже нормально

wp -?
cp-?

palsw
21.10.2014, 00:30
zebest, пинов то дофига свободных.
просто если уже определиться с разьемом окончательно - то будет стандарт для девайсов -11 пинов и питание 3,3в в наличии можно будет прикрутить что то интересное.

в прошивке спекки2010 выпилить из кода цап R2-R или закоментить.

---------- Post added at 23:30 ---------- Previous post was at 23:14 ----------

фото
1.впаиваем PLS штырьки
http://i.piccy_.info/i9/a52d9264c926b53c1fa9d1d656cf6881/1413836774/41142/758237/IMG_0450_500.jpg (http://piccy_.info/view3/7153120/c0288fa42f2fcbb02bce592c3b9f6894/)http://i.piccy_.info/a3/2014-10-20-20-26/i9-7153120/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-20-20-26/i9-7153120/472x354-r)

2.покупаем маму PLD-20 и впаиваем в макетку или ПП сделанную ЛУТ.
http://i.piccy_.info/i9/205909b4ddb44a92f7336a85f3821826/1413836969/40377/758237/IMG_0451_500.jpg (http://piccy_.info/view3/7153131/d8d7bb76baf6d138524523accb2d1682/)http://i.piccy_.info/a3/2014-10-20-20-29/i9-7153131/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-20-20-29/i9-7153131/472x354-r)
http://i.piccy_.info/i9/1f8f4285deb5def56b38e8d6f7ad7354/1413836993/46055/758237/IMG_0453_500.jpg (http://piccy_.info/view3/7153133/45852d9fa045861f6a009a98b20f6d8d/)http://i.piccy_.info/a3/2014-10-20-20-29/i9-7153133/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-20-20-29/i9-7153133/472x354-r)

как видно места хватает на Sd карту или микроSD и еще часы хоть в дипе хоть соик.

еще вариант можно для SD карты так развернуть плату и будет как раз вместе со всеми разьемами сзади корпуса
http://i.piccy_.info/i9/049e3721ab99968c4f3e532d61a41692/1413837218/38727/758237/IMG_0454_500.jpg (http://piccy_.info/view3/7153148/65be54f26b0fcb1147f25213e05536a9/)http://i.piccy_.info/a3/2014-10-20-20-33/i9-7153148/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-20-20-33/i9-7153148/472x354-r)

palsw
21.10.2014, 00:52
MVV, да!

---------- Post added at 23:52 ---------- Previous post was at 23:31 ----------

интересно кто скорее кодеры или паяльшики?
http://i.piccy_.info/i9/e217d4a15012a84bbdda288811758c2a/1413838333/33374/758237/IMG_0457_500.jpg (http://piccy_.info/view3/7153234/68612078263fd636fca5e7a96eb327ec/)http://i.piccy_.info/a3/2014-10-20-20-52/i9-7153234/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-20-20-52/i9-7153234/472x354-r)

осталось проволочки кинуть .Так что с стандартом определились.

palsw
21.10.2014, 02:41
сделал на фат32 флешке файл zxevo.rom

загрузился удачно вроде http://i.piccy_.info/i9/4c024147d36c63f3eaab85e75b342250/1413844594/24119/758237/IMG_0465_500.jpg (http://piccy_.info/view3/7153383/39c5f0061133eb600ac4790a819e16d3/)http://i.piccy_.info/a3/2014-10-20-22-36/i9-7153383/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-20-22-36/i9-7153383/472x354-r)

---------- Post added at 01:41 ---------- Previous post was at 01:36 ----------

проверил - даже с левой флешкой пишет done ts confa

palsw
22.10.2014, 19:55
Хотя F12 то работает? [S], [Enter], курсор если настраивать RTC?

работают четко.а вот в WC не чего не могу сделать только зайти в тр-dos и обратно в WC

palsw
23.10.2014, 01:13
файл rbf автоматом создается как и sof и pof или его в ручную нужно конвертить из sof?

у меня rbf не создался - вручную сконвертил ,а он размером 243кб.записал на флешку - отлично загрузился и артефактов нет на экране и потребление платы всего 270мА.

---------- Post added 23.10.2014 at 00:13 ---------- Previous post was 22.10.2014 at 23:48 ----------

если верить гугл


Финальная компиляция проекта:
Processing -> Start Compilation

Мы получили файл soc.sof c прошивкой FPGA. Но мы хотим прошивать ПЛИС прямо из CPU, поэтому нам понадобится другой формат. Выполним конвертацию. Это можно делать и из GUI, но в консоле проще. Да и вообще, пора уже отвыкать от GUI :).

Для конвертации надо запустить терминал и перейти в директорию с нашим проектом. Далее перейти в output_files и выполнить команду (не забываем, что директория с утилитами Quartus дожна быть в переменной PATH):

quartus_cpf -c soc.sof soc.rbf


Ура! Мы получили прошивку FPGA.

palsw
23.10.2014, 01:24
zebest[/и нет и да - как у всех .в биосе работает.на первой старнице тоже работает.могу время выставить.

а вот дальше нет.такое впечатление что клавиатура из самого спектрума не связана с основной прошивкой сетапа .

---------- Post added at 00:17 ---------- Previous post was at 00:16 ----------

[b]zebest, вот я конвертирую через меню файл но он большой выходит габаритами.хм ... попробую разобраться в сетингах

---------- Post added at 00:18 ---------- Previous post was at 00:17 ----------

zebest, глупые вопросы задаю,но у меня на некоторые уходит по пару часов.зато с каждым часом в квартусе уже как родной летаю :)

---------- Post added at 00:24 ---------- Previous post was at 00:18 ----------

ура-ура!

Generates a Raw Binary File (.rbf) containing configuration data that an intelligent external controller can use to configure the target device.

palsw
23.10.2014, 01:50
zebest, получилось - файлик автоматически создался и размер 134кб как положено.

осталось с клавиатурой разобраться и варнингами.Что то много ошибок связанных с ядром


Warning (10230): Verilog HDL assignment warning at tv80_core.v(447): truncated value with size 32 to match size of target (7)

и ядро хитрое tv80 ,а не t80s

Ewgeny7
23.10.2014, 08:53
и ядро хитрое tv80 ,а не t80s
tv80 - это верилог-вариант. Только зачем? T80S от syd'а вылизано ото всех ошибок и неточностей, чего не делали для tv80. Или я уже не уследил за развитием событий?

palsw
23.10.2014, 14:11
MVV,
Можно было-бы тут написать, что в допиливании сего, удел автора ts-конфы. Сейчас уже смутно верится, что это TSL (ссыль).

не совсем согласен.Автор конфы ее и так поддерживает на платформе evo и это тоже труд .Зачем ему еще спекки2010 или др. со специфическим железом.
А в eve хватает обвеса.Тот же Nvrm в часах в которых хранятся настройки,IDE-VGA цап. В самом Спекки2010 контроллер СТР755 от которого все открещиваются,а в eve atmega рулит
кстати так и не успел скачать модуль часов сразу пост стерли свой :)

---------- Post added at 13:11 ---------- Previous post was at 13:04 ----------

таже клава и мышка подключены к меге128 и она рулит уже

MVV
23.10.2014, 14:23
не совсем согласен.Автор конфы ее и так поддерживает на платформе evo и это тоже труд .Зачем ему еще спекки2010 или др. со специфическим железом.
В общем понятно. Согласен. Чет я не тем занялся. Есть же у меня U16, совсем забыл про неё... нужно поддерживать. Ну, а вы не серчайте, как нибудь сами, лады?

TSL
23.10.2014, 15:26
Автор псто видимо предлагает автору конфы порвать жопу. Простите, она мне дорога, как память. Иногда мне еще нужно заниматься и тем, что сказал ААА, иначе я умру.

TSL
23.10.2014, 15:40
Блиииин, ну не гляну :(
У меня нету спека2010, в ихнем фреймворке я не разбирался.
Могу дать общие советы. В пентеве клаву опрашивает атмега. Она делает следующие вещи:
- работает с пс/2 клавой и получает от нее сканкоды,
- складирует сканкоды в фифо,
- передает в фпга сканкоды при чтении зетником глючасов (вейтовые порты),
- мапит сканкоды в 40 клавиш ZX,
- передает в фпга по спецпротоколу статус 40 клавиш ZX, которые уже фпга выдает, как чтение порта FE.
В спеке2010 вместо атмеги стоит арм, значит весь этот функционал в нем. Как он связан с фпга я не рассматривал.
Проверять надо все по очереди.

---------- Post added at 14:40 ---------- Previous post was at 14:39 ----------

А, еще. БИОС работает с портом 254, а ВЦ - с пс/2 (глючасы). Так что судя по всему есть общая проблема опроса пс/2.

palsw
23.10.2014, 15:46
TSL, в спекке2010 клава ,мышка и флешка сразу прицеплена к ФПГА.
контроллер можно вообще не использовать - я прошивку заливаю сразу по JTAG.

TSL
23.10.2014, 15:46
Странно, тогда ртл от реверса должен сразу работать.

TSL
23.10.2014, 18:24
Пгастите, но эту часть таки пейсал MVV. Ему бы смотреть.

palsw
23.10.2014, 20:05
раз такая пьянка - буду в корпус одевать платку.

покупал корпус 06.01.13 Z28J белый - примерял не подходит.Так должно быть или у меня чуток не такой?

он бедняга лежал заламинированый и аж пожелтел местами

http://i.piccy_.info/i9/d45589966bc5b5471f9eef170099d190/1414080183/13702/758237/IMG_0480_500.jpg (http://piccy_.info/view3/7167311/eccfaa13b732917657f0e5e088f8711a/)http://i.piccy_.info/a3/2014-10-23-16-03/i9-7167311/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-23-16-03/i9-7167311/472x354-r)
http://i.piccy_.info/i9/6889457240c09c4658d044a4e567bf73/1414080249/27676/758237/IMG_0477_500.jpg (http://piccy_.info/view3/7167317/d18a48f5174d3e07178afe90957457d7/)http://i.piccy_.info/a3/2014-10-23-16-04/i9-7167317/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-23-16-04/i9-7167317/472x354-r)
http://i.piccy_.info/i9/983106d88d50ef352af6516a51594aee/1414080269/39007/758237/IMG_0479_500.jpg (http://piccy_.info/view3/7167318/fd1c74c9b3cafc41381d0a28d081bfd7/)http://i.piccy_.info/a3/2014-10-23-16-04/i9-7167318/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-23-16-04/i9-7167318/472x354-r)





плата короткая слегка.

примерял speccy2007 - подходит лучше - садится хорошо на нижние стоечки

http://i.piccy_.info/i9/cd4e2e9ba1d331742ab8b13de19abf0d/1414080346/39310/758237/IMG_0476_500.jpg (http://piccy_.info/view3/7167320/a82515b91dd962292cbb7d5e04d7a15a/)http://i.piccy_.info/a3/2014-10-23-16-05/i9-7167320/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-23-16-05/i9-7167320/472x354-r)

andykarpov
23.10.2014, 20:09
Корпус условно подходит, если доработать напильником. Сам с таким же промучался прилично:
1) во-первых он косой, всмысле 2 половины его не ровные, а как-то по диагонали раскроены. хз, зачем это надобно было делать :)
2) часть стоек нужно укорачивать, часть из тех, что в корпусе- я срезал.
3) крепежные отверстия в плате несколько не совпадают с теми, что есть в корпусе. пришлось сверлить рядом новые.

palsw
23.10.2014, 20:18
zebest,

так такой же. Тока черный. подходит идеально.


возможно то что он z28J модификация чуток длинее.


там режим пентагона хорошо реализован?? Бордюрные е-фекты?))
Снапшоты понимает??

в том то и прикол что там чистый пентагон-128.а первая версия 48К была клоном фирменого. Вот сидел думал что делать с этим пятногоном - ковырять самому прошивки прийдеться

снапшоты


ЗАПИСЬ СОСТОЯНИЕ КОМПЬЮТЕРА В SNA (работает не во всех играх) -- F11

andykarpov
23.10.2014, 20:20
ну это да)) но это все решаемо же
это да, на сегодняшний день это чуть ли ни единственный корпус, в который можно с горем пополам засунуть speccy2010 :)

Идеальным выходом видится такой: нарисовать раскройку для лазерной резки из акрила и нарезать в каком-нибудь сервисе, типа ponoko.com, ну или в местом каком-нибудь рекламном агенстве, которе делает всякие стендики из оргстекла.

Я несколько раз пытался начать рисовать такую раскройку, но что-то каждый раз все упиралось в кривость и неудобность интерфейса Inkscape :)))

andykarpov
23.10.2014, 21:10
а нарисуй.. и почемуу именно в inkscape ? это специятельная программа??
Нарисовать - да я бы с радостью, да терпения и скилов особо не хватает :(

Да, Inkscape - программка, opensource'ная, для векторной графики.

Не, ну можно рисовать наверное и в CorelDraw, и в AI, но у меня столько денег нет, чтобы купить этот софт :)

Для раскройщиков важно получить все в нужном формате (либо SVG, либо EPS, либо еще в чем-то стандартном).


а у меня мячта вот такие корпуса научится рисовать
Да, да, примерно так, только из оргстекла :)
PS: Выглядит из дерева корпус очень знатно!

palsw
24.10.2014, 13:16
донор в виде дохлой мамки найден и снята успешно W25X40VSIG SPI Flash ROM емкость у нее как раз 4мбит .
http://i.piccy_.info/i9/b278a1dfe8e930e8066a03fa21eaccdd/1414143176/41597/758237/IMG_0482_500.jpg (http://piccy_.info/view3/7170582/722f07b79a2279ddd060c033480faa35/)http://i.piccy_.info/a3/2014-10-24-09-32/i9-7170582/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-24-09-32/i9-7170582/472x354-r)

http://i.piccy_.info/i9/8da6fe9b5a4e5198b3782127808e89d6/1414142646/8558/758237/XP9.png (http://piccy_.info/)http://i.piccy_.info/a3/2014-10-24-09-24/i9-7170547/293x174-r/i.gif (http://i.piccy_.info/a3c/2014-10-24-09-24/i9-7170547/293x174-r)



зарезервировал контакты (LEFT0-LEFT3)

http://i.piccy_.info/i9/dd7c1b1e95e43c1a806f77d948a4d426/1414142684/45098/758237/Bezymiannyi_500.jpg (http://piccy_.info/view3/7170550/c656bffe8cf917584a87b4ddced9373f/)http://i.piccy_.info/a3/2014-10-24-09-24/i9-7170550/500x286-r/i.gif (http://i.piccy_.info/a3c/2014-10-24-09-24/i9-7170550/500x286-r)

palsw
24.10.2014, 14:29
zebest, о ,есть обратная связь.

http://i.piccy_.info/i9/e38c11776bd6cbe5965075407229dd7a/1414144004/15526/758237/spi_240.jpg (http://piccy_.info/view3/7170648/e62869be404f2f3ea5eee6d07f10a6b3/)http://i.piccy_.info/a3/2014-10-24-09-46/i9-7170648/240x142-r/i.gif (http://i.piccy_.info/a3c/2014-10-24-09-46/i9-7170648/240x142-r)


прикрутил номера выводов альтеры к разьему XP9
http://i.piccy_.info/i9/6d696cefb9d6eaceb25f3767ed4985c8/1414145744/21098/758237/xp_9_nomera_vyvodov.png (http://piccy_.info/)http://i.piccy_.info/a3/2014-10-24-10-15/i9-7170788/293x477-r/i.gif (http://i.piccy_.info/a3c/2014-10-24-10-15/i9-7170788/293x477-r)

[/COLOR]интересно зачем в исходниках на клавиатуру и мышку сигнал CLK назначен INOUT -чего я не знаю?


-- SD/MMC Memory Card
SD_MISO : in std_logic;
SD_MOSI : out std_logic;
SD_CLK : out std_logic;
SD_CS_N : out std_logic;
-- PS/2
KB_DAT : inout std_logic;
KB_CLK : inout std_logic;
MS_DAT : inout std_logic;
MS_CLK : inout std_logic);

palsw
24.10.2014, 17:27
Прикрутить SPI-Флешь вот девиз мой!

https://ru.wikipedia.org/wiki/Serial_Peripheral_Interface

В исходниках то SPI реализован изначально,впрочем как и I2C для часиков.
1.всего то нужно назначить в исходниках ножки SPI согласно доке выложенной выше.
2.при загрузке zxevo.rom использовать SPI ,а не SD

palsw
24.10.2014, 19:12
Так как пока не написан софт для заливки ROM в SPI буду шить программатором - это дело 10-30 мин смотря как попрет.

http://i.piccy_.info/i9/8098cd06021bc40ae2240f522fe0f62f/1414163032/29081/758237/flesh_500.jpg (http://piccy_.info/view3/7172441/34ad8702fed358658117bd41b5ca5ac9/)http://i.piccy_.info/a3/2014-10-24-15-03/i9-7172441/500x246-r/i.gif (http://i.piccy_.info/a3c/2014-10-24-15-03/i9-7172441/500x246-r)

balu_dark
24.10.2014, 20:35
Так может где то в недрах проекта она уже переназначена. или может это какой то дефолтный пин на который нельзя ничего назначить?

palsw
25.10.2014, 00:34
MVV, я всегда только рад поддержке в любом ее виде.

Я не буду это сообщение удалять.

не знаю почему такая обида и затираются сообщение. (акаунты на форуме) - это не важно.
Но мое мнение - что эта тема официальная по СПЕККИ2010 и в ней общается автор SYD.так что уместно в этой теме обсуждать все программные и железные доработки.ИМХО

TSL
25.10.2014, 13:32
так как тут все сообщения интересные MVV за собой уже по удалял (даже выложенные им исходники) .Zebest по его стопам пошел - я сам с собой общался наверное все эти дни
Бесит, правда? На тсфоруме даже пришлось потестить некоторые фичи форума для борьбы с этим стихийным бедствием. Казалось бы, взрослый человек...

palsw
25.10.2014, 23:53
zebest, а я ее щас и прогнал на спекки2010.
Да вижу только красный квадрат сверху.Но дальше дема работает хорошо и долго больше 10 мин.
сейчас подрубаю спекки2007
что такое а5?

palsw
26.10.2014, 01:10
zebest, шил по этой схеме досовской прогой в winxp

---------- Post added at 01:08 ---------- Previous post was at 01:08 ----------

http://web.igrosfera.net/2013/03/%D0%BF%D1%80%D0%BE%D0%B3%D1%80%D0%B0%D0%BC%D0%BC%D 0%B8%D1%80%D0%BE%D0%B2%D0%B0%D0%BD%D0%B8%D0%B5-spi-flashrom/

---------- Post added at 01:10 ---------- Previous post was at 01:08 ----------

на I5 очень шустро компилируется


Info: Started Full Compilation at Sun Oct 26 01:05:10 2014 &#212;&#232;&#237;&#235;&#255;&#237;&#228;&#232;&#255; (&#231;&#232;&#236;&#224;)
Info: Ended Full Compilation at Sun Oct 26 01:07:19 2014 &#212;&#232;&#237;&#235;&#255;&#237;&#228;&#232;&#255; (&#231;&#232;&#236;&#224;)

palsw
26.10.2014, 01:49
1.доделать SPI что бы не дрюкать флешки при загрузке (готово)
2.разобраться с клавой почему тесты не довольны. (готово)
3.разобраться с int - почему от не правильный.(готово)

palsw
26.10.2014, 02:04
zebest, нет

1.припаял
2.прошил
3.в коде назначил входы-выходы
4.в коде включил все закоментированые части SPI

осталось понять и найти процедуру которая в сетапе MVV подгружает файл zxevo.rom с sd-карты и научить подгружать еще из SPI-флешки.

palsw
26.10.2014, 02:20
тест отлично проходит.такты в порядке .
ругается на клаву - порт FE# неисправен и инт показывает пентагоновский.но длина инта ему не нравиться.

test int
http://i.piccy_.info/i9/ab5937479422d0741c5231bc5c035647/1414279103/23126/819094/IMG_0487_500.jpg (http://piccy_.info/view3/7179231/5722311a683ce92e2c6870a411aca376/)http://i.piccy_.info/a3/2014-10-25-23-18/i9-7179231/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-25-23-18/i9-7179231/472x354-r)

добавлю ещё - тест озу находит одиночные ошибки как в версии 48к так и 4096к озу
http://i.piccy_.info/i9/bc6ed3c13370790dabf8ea8d970d354b/1414414855/19493/819094/IMG_0495_500.jpg (http://piccy_.info/view3/7186089/ad30bce53ccb85716811d4ee62a4e9cb/)http://i.piccy_.info/a3/2014-10-27-13-00/i9-7186089/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-27-13-00/i9-7186089/472x354-r)
Результат тест 1 час
http://i.piccy_.info/i9/0dd8d84cd1ebfb2043e740ec6983e10d/1414418812/18973/819094/IMG_0499_500.jpg (http://piccy_.info/view3/7186535/0620752fcdb91ac4abc3f39a888e78e1/)http://i.piccy_.info/a3/2014-10-27-14-06/i9-7186535/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-27-14-06/i9-7186535/472x354-r)

palsw
27.10.2014, 01:48
благодаря MVV дело движется с SPI -

MVV
28.10.2014, 15:18
хотел сделать универсальную версию прошивки,но товарищ MVV затер сообщение с кодом ,что нужно менять.
И откуда ты знаешь, что затерто то, что нужно менять? А если знаешь, то почему не меняешь?
Здесь смотрел? (ссылка (http://forum.tslabs.info/viewtopic.php?f=31&t=483)) Там тебе и помощь предлагали :)
INT# короткий? :) Так его снимает сам процессор по INTA#. Это в классических схемах экономили на элементе, ставили RC цепочку, поэтому в тесте его длина в зеленой зоне должна быть.
Ну, а по поводу выбора от куда грузить zxevo.rom, то уже лучше сделать авто, нет в SPI, грузим с SD. Есть исходники драйвера FAT32, нужны? Сделаешь загрузку с папки по имени.
Можно еще прикрутить загрузчик файл -> SPI FLASH.

palsw
29.10.2014, 01:38
пока девочки ссорятся решил исследовать вопрос о пинах ASDO,nCSO и назначения им IO.
Все просто:
1.устанавливаем Passive Serial
http://i.piccy_.info/i9/040610bccf57e4ee43d69ec01004fe26/1414535683/39122/819094/shag_1_500.jpg (http://piccy_.info/view3/7195392/d122b76bd561d0e6ddb1106c4df79874/)http://i.piccy_.info/a3/2014-10-28-22-34/i9-7195392/446x374-r/i.gif (http://i.piccy_.info/a3c/2014-10-28-22-34/i9-7195392/446x374-r)

2.Выбираем в из списка IO
http://i.piccy_.info/i9/abfed11f46ae05b6e325ce2ade35f6fc/1414535881/34715/819094/shag_2_500.jpg (http://piccy_.info/view3/7195394/da30930ba14a7418d722d7b6f1c71a9d/)http://i.piccy_.info/a3/2014-10-28-22-38/i9-7195394/446x374-r/i.gif (http://i.piccy_.info/a3c/2014-10-28-22-38/i9-7195394/446x374-r)

все просто :)

проверил опытным путем - прошивка работает.
32мб
TDA1543
загрузка только SPI

Ewgeny7
29.10.2014, 11:22
Господа параноики, кончайте уже посты удалять. Как девки в мужской бане прячетесь, чесслово.

palsw
29.10.2014, 14:31
вчера как собрал прошивку запустил тест озу 48к и отработал 12часов.Не одной ошибки нет!в биосе все по дефолту настроено и 60гц развертка.Как так?
http://i.piccy_.info/i9/983c7dca477304d3243e98dea68bec5f/1414582260/8506/819094/IMG_0506_500.jpg (http://piccy_.info/view3/7197310/819fe0d6b2156ef5bd84cf8464805a76/)http://i.piccy_.info/a3/2014-10-29-11-31/i9-7197310/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-29-11-31/i9-7197310/472x354-r)

при 48гц тоже ошибок нет и любимая дема zebest работает отлично и не мусорит на экран.Наверное у квартуса хорошее настроение сегодня и он правильно собрал прошивку :)

MVV
29.10.2014, 18:25
проверил опытным путем - прошивка работает.
https://yadi.sk/d/EX2fTJ9NcMU2r
32мб
TDA1543
загрузка только SPI
Есть вопрос, какие были сделаны исправления?
Не хватает инфы для публикации конфигурации на TS Forum (ссылка (http://forum.tslabs.info/viewtopic.php?f=31&t=483)).

Нужна схемка подключения SD и если есть фото, т.е. нужно собрать материал, чтобы в дальнейшем кто-то еще смог это повторить и на базе этого возможно что-то улучшить.

Работает ли это? :
http://zxaaa.untergrund.net/get.php?f=DEMO6/rubicon.zip
http://forum.tslabs.info/download/file.php?id=500
http://zxaaa.untergrund.net/view_demo.php?id=8341

palsw
29.10.2014, 18:28
MVV, вот прям мысли мои читаешь! сижу смотрю как тест проверяет 4мб озу без ошибок и думаю что пора сделать видео с демками под все возможности TS-conf -нам же обычного спека уже мало.
сейчас начну делать.:)

---------- Post added at 17:28 ---------- Previous post was at 17:27 ----------

MVV, все будет как только припаяю часы- что бы уже все махом и еще хочу развести печатку под лут.
вопрос какие часы покупать DS или PCF - ?

MVV
29.10.2014, 18:32
что бы уже все махом
Давай пока то, что есть на этом шаге.

какие часы покупать DS или PCF
На плате часы установлены должны быть. Закинь только два проводка шины I2C на разъем.

palsw
29.10.2014, 20:01
Зарезервировал контакты (LEFT0-LEFT3)
LEFT4 и RIGHT3 резервирую для I2C часов
RIGHT1,RIGHT2,RIGHT3 свободные еще .


вот что получилось:
50гц
монитор засвечивает фотик
MO- не дождался загрузки и вырубил.(отдельно сделаю видео - есть в нем проблемы)
сам ютуб зажал видео до кубиков.


http://www.youtube.com
ставим лайки,подписываемся - еще будет :)

MVV
29.10.2014, 22:03
Проверь еще графику (ссылка (http://forum.tslabs.info/download/file.php?id=879)). Должно показывать как на этой железке: IDE Video-DAC (http://forum.tslabs.info/viewtopic.php?f=31&t=421)
Немного добавил инфы в первый топик (ссылка (http://forum.tslabs.info/viewtopic.php?f=31&t=483)).

palsw
29.10.2014, 22:28
MVV, в прошивке 29 числа еще
ASDO,nCSO и назначения им IO.

вот думаю как сделать видео нормальное 48гц - захватить тюнером не получится,а с фотика качество не очень.

еще переделаю картинку разводки разьема.
переделал
http://i.piccy_.info/i9/acc09aec6b4ce0226b571579901bbda3/1414611793/45203/758237/XP9_speccy2010_500.jpg (http://piccy_.info/view3/7200311/6c1f935ac011ade0574ba9c2b17601e7/)http://i.piccy_.info/a3/2014-10-29-19-43/i9-7200311/500x286-r/i.gif (http://i.piccy_.info/a3c/2014-10-29-19-43/i9-7200311/500x286-r)

palsw
30.10.2014, 00:30
Новая версия прошивки palsw_30
-добавлен выбор загрузки 1.SD 2.SPI (спасибо VBI) проверка на корректность выбора лежит только на юзере

MVV
30.10.2014, 12:55
на верхнюю плату не надо ставить часы - надо использовать штатные, он них снизу платы кинуть два проводка к этомуу разъемуу.
Обсуждалось с palsw, решили на плате нечего не резать и не паять.

ps - копировать не надо.. будет стерто. Детсад же.
Наводящие коменты, только раздувающие тему удаляю. Вся суть в первом топике должна быть с описанием. Перечитывать 148 страниц в поисках истины никто не будет.
Для примера, желательна выкладка материала как здесь (http://pipistrello.saanlima.com/index.php?title=Welcome_to_Pipistrello). Но к сожалению движок форума не тот.

palsw
30.10.2014, 13:34
zebest, не :) на видео же видно на первой надписи сразу висит и в колонках гудит нота бесконечно.

---------- Post added at 12:28 ---------- Previous post was at 12:26 ----------

плату не режем и не уродуем.используем только штатные разьемы.

сейчас 2 маленькие проблемы:

1.инт во всех демах пентагоновский но сдвинут немного (видно на демах бордерных).
2.что то с портом клавиатуры.тесты выдают порт не исправный.наверное можно в бейсике почитать порт в цикле и посмотреть что из него срет?

---------- Post added at 12:34 ---------- Previous post was at 12:28 ----------


Проверь еще графику (ссылка (http://forum.tslabs.info/download/file.php?id=879)). Должно показывать как на этой железке: IDE Video-DAC (http://forum.tslabs.info/viewtopic.php?f=31&t=421)
Немного добавил инфы в первый топик (ссылка (http://forum.tslabs.info/viewtopic.php?f=31&t=483)).

все картинки и gif открывает и отображает четко ! просто шик :)

ps:домучал фотик - расшинковал его и пытаюсь собрать докучи .старенький он и еще залит слегка водой.будем надеяться что виживет и я еще порадую фотками и видео :)

MVV
30.10.2014, 14:13
1.инт во всех демах пентагоновский но сдвинут немного (видно на демах бордерных).
Статический мультиколор не шатает из стороны в сторону? Заметил это с tv80, с t80 всё нормально, но в рубиконе в некоторых местах музыка почему-то начинает быстро проигрываться.

MVV
30.10.2014, 17:06
нужно поковырять ту прошивку на предмет ядра T80s он в speccy2010 работал не плохо.
Закоментируй в Rev. palsw_30 в модуле tsconf.vhd строки:
от component tv80s is
по end component;

разкоментируй строки:
от --z80_unit: entity work.T80s
по --RestorePC_n => '1');

Попробуй собрать.

По поводу как прикручивать, можно сделать несколько вариантов на выбор. Я тут ещё думал enc424j600 прикрутить. Может кто для начала морской бой простенький напишет по сети :)

MVV
30.10.2014, 17:19
Тут главное сильно не переусердствовать, а то желание что-либо делать пропадет. Заработал конфиг, не спеша разобраться, что где и как, и не забывать делать рабочие копии для возможности отката :)
Чет у меня сейчас никакой мотивации :( Допиливаю потихоньку то что интересно - видео модуль с текстовым и граф режимами на 640х480@60Hz 24bpp.

---------- Post added at 16:19 ---------- Previous post was at 16:19 ----------


а этой х че делать?
закоментить

MVV
30.10.2014, 17:26
файл T80s с какого проекта брать ?
Неважно, из папки RTL/t80, посмотри должен быть.

Blade
30.10.2014, 20:33
ну вот, теперь вижу, бордюр с экраном на 2-4 пикселя "разбегается" .
Инт в сетапе настраивается: "INT offset"

Blade
30.10.2014, 20:44
мне бы - по умолКанию, искаропки.
ну да, там по умолчанию +1 стоИт, надо +2 - тогда все ровно
Для RAGE как раз 1 надо, на оригинальном железе. Значит в speccy2010 что-то не так сделано.

balu_dark
31.10.2014, 09:53
Вектор скорее всего будет неисправен по 2м причинам - или ПЗУ не фирменное 82 года, или нет FF на шине во время когда к ней никто не обращается(эмуляция 8ми резисторов 4.7к с плюса питания на шину данных).
В зависимости от того как оно проверяет вектор - в ПЗУ или в ОЗУ.

А порт FE надо попробовать почитать во первых из 8 полных 16 битных адресов, во вторых - аналогично с шиной - могут быть 2 или сколько там старших битов неопределенными. По умолчанию - из них должно читаться еденицы в верхних разрядах. Но это уже можно выставить и принудительно в коде чтения порта FE в плисине.

palsw
31.10.2014, 14:07
(эмуляция 8ми резисторов 4.7к с плюса питания на шину данных)

будем искать :)

вот что говорит тест портов.
http://i.piccy_.info/i9/39137ea95cb76010b75ea205243804cf/1414753571/39724/819094/IMG_0571_500.jpg (http://piccy_.info/view3/7207656/3808e154a50a9aa47866b1b2edfe6521/)http://i.piccy_.info/a3/2014-10-31-11-06/i9-7207656/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-10-31-11-06/i9-7207656/472x354-r)
а вот эмулятор
http://i.piccy_.info/i9/7a1f517902f375015c705b4617080804/1414753618/43649/819094/Bezymiannyi_500.jpg (http://piccy_.info/view3/7207657/b0705a9afc6fc5c276b2cea85f3235cc/)http://i.piccy_.info/a3/2014-10-31-11-06/i9-7207657/461x362-r/i.gif (http://i.piccy_.info/a3c/2014-10-31-11-06/i9-7207657/461x362-r)

MVV
31.10.2014, 14:17
palsw, возможно проблема здесь:

// reading ports
always @*
begin
case (loa)
PORTFE:
dout = {1'b1, tape_read, 1'b0, keys_in};
модуль zports.v

palsw
31.10.2014, 14:20
MVV, не могу нагуглить по этому порту какой бит отвечает за что.


#FE - клавиатура, цвет бордюра, бипер, магнитофон

#7FFE - полуряд Space...B
#BFFE - полуряд Enter...H
#DFFE - полуряд P...Y
#EFFE - полуряд 0...6
#F7FE - полуряд 1...5
#FBFE - полуряд Q...T
#FDFE - полуряд A...G
#FEFE - полуряд CS...V




OUT FE
D0-D2 - цвет бордюра (8 цветов) - BRG
D3 - запись сигнала на магнитофон
D4 - управление звуковым каналом ("бипером")

balu_dark
31.10.2014, 14:29
по моему там D5 это вход с магнитофона - потому как D0-D4 должны быть данные клавиатуры - 5 кнопок в ряду.

П.С. Глянул еще раз картинку с тестами - так и есть.

Что до проверки шины - был вроде тест стабильности шины (floating bus test) но не помню как программа называлась
проверить можно из бейсика - вывести в цикле данные из портов с 32 по 127й включительно - если будет везде читать FF значит шина стабильна. Если лабуду - не стабильна.

palsw
31.10.2014, 14:32
так в порт клавиатуры срет загрузка с магнитофона?


К примеру, рассмотрим чтение клавиатуры.
Адреса портов клавиатуры отличаются только
старшим байтом, а младший всё время равен
#FE. Какой полуряд в данный момент читает-
ся, видно из таблички:

╔═══════════╤═══════╗
║ Полуряд │ Адрес ║
║ │ порта ║
║───────────┼───────╢
║ Space...B │ 7FFE ║
║ Enter...H │ BFFE ║
║ P.......Y │ DFFE ║
║ 0.......6 │ EFFE ║
║ 1.......5 │ F7FE ║
║ Q.......T │ FBFE ║
║ A.......G │ FDFE ║
║ CS......V │ FEFE ║
╚═══════════╧═══════╝

Одной из особенностей чтения из порта
клавиатуры является то, что младшие биты
полученного значения относятся к крайним
клавишам полуряда. Наример, если мы выпол-
ним нечто вроде

LD BC,#EFFE
IN A,(C)

то полученный байт будет иметь следующее
значение:

╔══╤══╤══╤══╤══╤══╤══╤══╗
║x │x │x │6 │7 │8 │9 │0 ║ Клавиша
╟──┼──┼──┼──┼──┼──┼──┼──╢
║D7│D6│D5│D4│D3│D2│D1│D0║ Бит
╚══╧══╧══╧══╧══╧══╧══╧══╝

Битам D7, D6, D5 повезло - они имели
честь остаться неиспользованными. О том,
что определённая клавиша нажата, мы узнаем
по состоянию соответствующего ей бита - он
будет сброшен.

MVV
31.10.2014, 14:53
не могу нагуглить по этому порту какой бит отвечает за что
Порт FE (http://speccy.info/%D0%9F%D0%BE%D1%80%D1%82_FE)

Назначение битов при чтении из порта
D0-D4 - отображают состояние определённого полуряда клавиатуры ZX Spectrum. Порты полурядов - #7FFE, #BFFE, #DFFE, #EFFE, #F7FE, #FBFE, #FDFE и #FEFE. Возможно одновременное чтение нескольких полурядов при сбросе нескольких бит в старшем байте адреса порта. В контроллере клавиатуры компьютера ATM Turbo некоторые комбинации старших адресов заняты другими функциями.
D6 - отображает состояние магнитофонного входа (EAR).
D5, D7 - обычно не используются. В некоторых клонах ZX Spectrum эти биты используются для чтения сигналов последовательного и параллельного интерфейса. В компьютерах Спарк и Аллофон эти биты отображают состояние дополнительных клавиш.


так в порт клавиатуры срет загрузка с магнитофона?
Да, с магнитофона. Мы ведь имеем возможность монтировать TAP :)

palsw
31.10.2014, 14:53
MVV, D5 получается сидит в 0 всегда вот и матерятся тесты на порт клавиатуры.в эмуляторе везде 1 читаются с порта

MVV
31.10.2014, 15:20
D6 получается сидит в 0 всегда вот и матерятся тесты на порт клавиатуры.в эмуляторе везде 1 читаются с порта
Чет ты меня сбиваешь? Сидит в '0' D5. А он не используется.

Попробуй поправить тут: http://zx-pk.ru/showpost.php?p=749816&postcount=1488

замени на:
dout = {1'b1, tape_read, 1'b1, keys_in};

Народ, есть тут кто уже разобрался с арбитром? Кто как считает, можно ли прикрутить GS? :v2_walkm:
Дело может пойти быстрее? :v2_dizzy_coder: если будет мотивация $$$?

Blade
31.10.2014, 15:22
можно ли прикрутить GS?
Нельзя.

MVV
31.10.2014, 15:26
Нельзя.
:v2_tong2:

Тоже говорили что TS-Conf не влезет и нельзя... :v2_dizzy_biggrin2:

MVV
31.10.2014, 15:28
а вылизать текущую прошивку
:v2_tong2: этим и занимается TSL.

palsw
31.10.2014, 15:35
ТЕСТ порта #FE (клавиатура) - Порт в порядке !!!
+1 фикс

MVV
31.10.2014, 15:36
MVV,
Да мотивировать TSL нужно :v2_dizzy_vodka4: иначе никак :v2_dizzy_otvyan:

balu_dark
31.10.2014, 15:36
А резалта замены кода предложенной MVV когда ждать? после работы или сейчас возможность есть ?
шустрые вы какие - пока писал уже 4 поста один из них с ответом на мой вопрос.
что до длины - надо смотреть как он накодирован. скорее всего значение с чем сравнивается - увеличить на некоторое колво.

MVV
31.10.2014, 15:40
А резалта замены кода предложенной MVV когда ждать?
Предлагаю на гугл коде размещать релизы, кто как думает?

Blade
31.10.2014, 15:46
у нас же длина INT 20 всего
Этот баг не исправлен: https://code.google.com/p/zx-evo-fpga/source/detail?r=d5c110359e803960f87865ff87af2264eb5b2e15

MVV
31.10.2014, 16:19
Этот баг не исправлен
Спасибо, поправил. Попробую собрать и проверить с исправлением.

Установил Int offset = 2 все в мультиколоре выровнялось!

palsw, поправь в модуле zint.v:

// always @(posedge zclk, posedge int_start_lin)
always @(posedge zclk, posedge int_start_frm) // MVV 31.10.2014
begin
// if (int_start_lin)
if (int_start_frm) // MVV 31.10.2014
intctr <= 6'b000000;
else if (!intctr_fin)
intctr <= intctr + 6'b000001;
end

endmodule

palsw
31.10.2014, 16:21
MVV, у меня правка int не взлетела - снег на экране.точки,черточки .TAP не работает,только TRD .в тесте INT нет изменений.
заменил только 2 строчки

balu_dark
31.10.2014, 16:26
balu_dark, мои результаты готовой прошивки могу выложить все скопом сейчас.но ради 1 фикса еще наверное не стоит.
то я именно за результат спрашивал - типа помогло или нет. Особо сам код пока не нужен.
к слову - с таким подходом тапки грузится не будут - Сид правил код пзу и вроде грузил тапки через другой порт по 4 бита. то есть для загрузки тапов ( ну мало ли - припрет кого оригинальный тап загрузить) надо будет править код VHDL.


еще этот вектор в тесте мусолит глаза: Interrupt vector :???
в эмуляторе так #FF

но в том же тесте :
шина данных стабильна содержит #FF - значит подтяжка виртуальная шины даных есть.

еще в коде tsconf.vhd местами есть за комментированы строчки с IM2 .Это не оно?
Возможно что и оно. Там надо принудительно одну из половинок адреса для IM2 делать FF. Насколько помню - младшую . Старшая хранится в регистре процессора, а младшая как раз идет с шины данных.

palsw
31.10.2014, 16:29
вот мой "родной файлик"

balu_dark
31.10.2014, 16:45
с этим вариантом еще хуже.выделеное красным у меня было другое

вот мой "родной файлик"
А если так попробовать вектор задать ?
assign vect[INTFRM] = 8'hFF;
assign vect[INTLIN] = 8'hFF;
assign vect[INTDMA] = 8'hFF;
assign vect[INTDUM] = 8'hFF;

MVV
31.10.2014, 16:48
Чет тяжеловато все как-то ещё... :(

у меня правка int не взлетела - снег на экране.точки,черточки .TAP не работает,только TRD .в тесте INT нет изменений.
заменил только 2 строчки
У мну: тапки ходят, int меняется - устанавливаю в сетап 0-7, на экране вижу как сместилось влево или вправо.


с этим вариантом еще хуже.выделеное красным у меня было другое
Десятичную запись от двоичной различить не можем?
Двоичная:

intctr <= 6'b000000;
else if (!intctr_fin)
intctr <= intctr + 6'b000001;Десятичная:

intctr <= 0;
else if (!intctr_fin)
intctr <= intctr + 1;


А если так попробовать вектор задать ?
assign vect[INTFRM] = 8'hFF;
assign vect[INTLIN] = 8'hFF;
assign vect[INTDMA] = 8'hFF;
assign vect[INTDUM] = 8'hFF;
Эээ, эт руками не трогать, прибегут кодеры, по рукам надают!

balu_dark
31.10.2014, 17:00
Эээ, эт руками не трогать, прибегут кодеры, по рукам надают!
Да для проверки только - оно это вообще или нет.Похоже то как раз на вектор.

MVV
31.10.2014, 17:02
фото прилагать или видео как снежит и срет голубыми кубиками
49763 49764

MVV
31.10.2014, 17:46
TimeQuest:
49765

palsw
31.10.2014, 20:48
на данный момент есть прошивка palsw_30
-tv80s
-за комментирован I2C
-fix порта клавиатуры #FE

balu_dark
31.10.2014, 20:57
palsw - сделай те 4 строки и фикс клавы ( не трогай инт пока! )- да проверь плиз тестом что напишет про вектор IM2. А потом опять продолжиш игрища с интом. а то когда все смешивается - фиг поймеш что работает а что нет - те 4 строки что я дал - похожи на установку вектора для IM2 - вот его и охота проверить - оно ли это!

Blade
31.10.2014, 21:07
те 4 строки что я дал - похожи на установку вектора для IM2 - вот его и охота проверить - оно ли это!
Не оно. У кадрового инта всегда вектор FF. FD и FB это строчный инт и прерывание от дма.

palsw
31.10.2014, 21:10
balu_dark,
сделал еще одни вариант -задал вектор ,а int оставил как было без фикса - так работает прошивка

так уже делал без инта -тест ругался на вектор.

Blade
31.10.2014, 22:59
так уже делал без инта -тест ругался на вектор.
Там ошибка в тесте: если он пишет, что инт слишком короткий, то тест вектора прерываний работает неправильно.

palsw
31.10.2014, 23:00
Blade, все крутится вокгруг INT

Blade
31.10.2014, 23:10
С интом все нормально. На тсконфе нельзя измерить его длительность.

balu_dark
31.10.2014, 23:20
Но демки то тоже через зад работают!

balu_dark
31.10.2014, 23:31
ну на самом деле как в реальном спеке сделано - только те кто отреверсил ULA знают.

MVV
01.11.2014, 00:12
palsw, int# завязан на inta#. Сигнал int#, формируемый устройством в/в, анализируется в конце выполнения текущей команды. Что такое цикл подтверждения прерывания (inta# = iorq# or m1#), думаю не нужно напоминать? Просто раньше сэкономили на элементах, вместо inta# поставили RC цепочку (схема (http://speccy.info/w/images/8/88/Pentagon_128K_1991_Schematic.png)):

49773

Длительность сигнала int# подбирали с помощью R и С. У нас же все сделано аппаратно на элементе 2ИЛИ и триггере устанавливающемся по inta# и анализируется int# поэтому сразу же. От того он в тесте видится типа как короткий.


ну на самом деле как в реальном спеке сделано - только те кто отреверсил ULA знают.
А что там знать то ULA chip for ZX Spectrum (http://opencores.org/project,zx_ula):

// INT generation
reg INT_n = 1;
assign msk_int_n = INT_n;
always @(negedge clk7) begin
if (`cyclestart(vc,248) && `cyclestart(hc,0))
INT_n <= 0;
else if (`cyclestart(vc,248) && `cycleend(hc,31))
INT_n <= 1;
end
У нас ведь времянки завязаны под Pentagon :) Тут немного по другому.

TSL
01.11.2014, 06:54
Глагне верить в свои силы )

balu_dark
01.11.2014, 12:07
А с тестами как дела обстоят? интов, векторов и прочего?

palsw
01.11.2014, 15:13
Нужно запустить на реальной Pentevo+TS_conf и сделать скрин тестов INT.

например
http://i.piccy_.info/i9/4f133cbab84cc1f30cf4aed23982de15/1414843982/14519/822230/IMG_0578_500.jpg (http://piccy_.info/view3/7212784/2c4af98000d4ea719e38e40acaa39db4/)http://i.piccy_.info/a3/2014-11-01-12-13/i9-7212784/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-11-01-12-13/i9-7212784/472x354-r)

и кому верить? 32 такта это правильный результат .

balu_dark
01.11.2014, 15:33
не - я не про длительность инта или его время появления - я вот за тот тест где типа - вектор неизвестен, а должен быть FF.

ustinovdmitriy
01.11.2014, 15:33
Кто поможет с пошаговой инструкцией по прошивке boot в speccy2010 я уже замахался WIN-XP

palsw
01.11.2014, 15:37
ustinovdmitriy, все элементарно.опиши что не получаться и зачем шить бут?
1.замкнуть джампер не забудь

palsw
01.11.2014, 16:03
еще один подопытный
http://i.piccy_.info/i9/f301512fed40be8759f72749741122fb/1414846896/26423/822230/IMG_0580_500.jpg (http://piccy_.info/view3/7213009/9ccccfab30f4c73b4233972a6c9ebb63/)http://i.piccy_.info/a3/2014-11-01-13-01/i9-7213009/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-11-01-13-01/i9-7213009/472x354-r)
http://i.piccy_.info/i9/18e7b2b7aecc1eee2f8c11f046f1b1d6/1414846928/21508/822230/IMG_0583_500.jpg (http://piccy_.info/view3/7213015/01b99865615a7d619ced2967fea60ee8/)http://i.piccy_.info/a3/2014-11-01-13-02/i9-7213015/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-11-01-13-02/i9-7213015/472x354-r)
http://i.piccy_.info/i9/18e7b2b7aecc1eee2f8c11f046f1b1d6/1414846928/21508/822230/IMG_0583_500.jpg (http://piccy_.info/view3/7213015/01b99865615a7d619ced2967fea60ee8/)http://i.piccy_.info/a3/2014-11-01-13-02/i9-7213015/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-11-01-13-02/i9-7213015/472x354-r)
http://i.piccy_.info/i9/2d303b3c0418ee1d1bd19f447d2c2536/1414846955/26390/822230/IMG_0584_500.jpg (http://piccy_.info/view3/7213019/f1150a5388b58d2f4d1cfb8904fc7ee6/)http://i.piccy_.info/a3/2014-11-01-13-02/i9-7213019/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-11-01-13-02/i9-7213019/472x354-r)

palsw
01.11.2014, 17:25
А с тестами как дела обстоят? интов, векторов и прочего?

совсем забыл ,что в тесте Юрий Ковалевский TEST INT v1.10 есть тест и стабильности шины данных и вектора прерываний.
так что с шиной все стабильно и вектор правильных.

ps:еще бы инт удлинить до 32х тактов и вообще песня

Blade
01.11.2014, 18:00
еще бы инт удлинить до 32х тактов и вообще песня
Он и так 32 такта.

MVV
01.11.2014, 18:33
пока я такой цифры не вижу в тестах.чаще 20 или 28 тактов всего.
24..28

// ~INT counter
reg [5:0] intctr;
wire intctr_fin = intctr[5]; // 32 clks

Теперь не пишет что короткий :)

// ~INT generating
reg int_frm;
always @(posedge clk)
if (res || dis_int_frm || vdos)
int_frm <= 1'b0;
else if (int_start_frm)
int_frm <= 1'b1;
// else if (intctr_fin || intack_s) // priority 0
else if (intctr_fin) // MVV 01.11.2014
int_frm <= 1'b0;

Blade
01.11.2014, 18:34
пока я такой цифры не вижу в тестах.
Его нельзя измерить программно. Инт снимается в цикле подтверждения прерывания.

Blade
01.11.2014, 18:48
Теперь не пишет что короткий :)

// ~INT generating
reg int_frm;
always @(posedge clk)
if (res || dis_int_frm || vdos)
int_frm <= 1'b0;
else if (int_start_frm)
int_frm <= 1'b1;
// else if (intctr_fin || intack_s) // priority 0
else if (intctr_fin) // MVV 01.11.2014
int_frm <= 1'b0;

Не надо так делать. Будут глюки в тс-софтах.

palsw
01.11.2014, 18:58
MVV, Красавчик!!!:v2_dizzy_roll:

http://i.piccy_.info/i9/7fab6b2a71f261b5ed2984d55b739781/1414857287/26597/822230/IMG_0588_500.jpg (http://piccy_.info/view3/7213726/2c140d84d20cedff4747e6d37ac7303a/)http://i.piccy_.info/a3/2014-11-01-15-54/i9-7213726/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-11-01-15-54/i9-7213726/472x354-r)

http://i.piccy_.info/i9/1352ae73fad9e1deef53997e8de4b09e/1414857316/29857/822230/IMG_0589_500.jpg (http://piccy_.info/view3/7213734/da73039bb76702fe017eebea98067660/)http://i.piccy_.info/a3/2014-11-01-15-55/i9-7213734/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-11-01-15-55/i9-7213734/472x354-r)

http://i.piccy_.info/i9/9b7dfcc864ccf7bd9bcc450216365845/1414857330/23102/822230/IMG_0594_500.jpg (http://piccy_.info/view3/7213736/e1ce318cc5a3cb3f9e75beee9db7001a/)http://i.piccy_.info/a3/2014-11-01-15-55/i9-7213736/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-11-01-15-55/i9-7213736/472x354-r)


palsw_02
правильный Border
правильный INT
T80s
https://yadi.sk/d/g3HDUZnocSHvi

Blade
01.11.2014, 19:06
в каких софтах .так запустил на вскидку демку в SPG -все ровно
Сейчас таких может нет. Простой пример: на frame инт играет музыка, на line инт эффект. Чтобы не пропустить line инт в обработчике frame инт в самом начале стоит EI. Если убрать intack_s, будет повторный вход в обработчик frame инт, и музыка будет играть быстрее.

palsw
01.11.2014, 19:24
на данный момент только Rubicon выеживается .темп звука плавает и др. проблемы звука после замены ядра TV80s<=>T80s .Зато после замены заработал весь софт и бордер на месте пиксель в пиксель.
в биосе offset- 2

VELESOFT
02.11.2014, 16:29
офф по поводу спектрум-бука:

Прошу сюда
http://zx.pk.ru/showthread.php?t=13843


stanley
Например, небольшие клавы:
A4-Tech KL-5-2 (http://www.nix.ru/2id.php?i=87591) (с переходником USB-PS/2)
A4-Tech KL-5UP-1 (http://www.nix.ru/2id.php?i=84757) (с переходником USB-PS/2)
A4-Tech KLS-5UP (http://www.nix.ru/2id.php?i=42033) (с переходником USB-PS/2)
SVEN Mini 4000 (http://www.nix.ru/2id.php?i=33270)
Cherry G84-4100 (http://www.nix.ru/2id.php?i=14566) (с переходником USB-PS/2)
BTC 9118 (http://www.nix.ru/2id.php?i=30071)
BTC 6100C Slim (http://www.nix.ru/2id.php?i=33054) (с переходником USB-PS/2)


Big part of PC keyboards have ghosting problem if you press three keys in same time. Often is unusable game controll with keys 12345 and QWERT, but also QAOPM/SPACE, etc... Each keyboard need test, because may be problematic for game controll (without QAOPM/SPACE is absolutly unusable)

MVV
02.11.2014, 16:47
VELESOFT, не подскажешь как исправить?
Согласен, если нажать на все клавиши, то эффекта "все нажаты" как на реальном спектруме не будет.
Kempston Joystick никто не отменял :) С другой стороны, это всего лишь программная проблема опроса клавиш, нужно подстраиваться под реальное время...

VELESOFT
02.11.2014, 17:32
VELESOFT, не подскажешь как исправить?
Согласен, если нажать на все клавиши, то эффекта "все нажаты" как на реальном спектруме не будет.
Kempston Joystick никто не отменял :) С другой стороны, это всего лишь программная проблема опроса клавиш, нужно подстраиваться под реальное время...

I dont't know if is possible fix this problem in PS/2 or USB protocol. If keyboard interface return all pressed keys (also with ghosted keys), then some problems may be filtered in software (in ps/2 or USB controller).

But as you can see on PC testers or ZX keyboard interfaces, then ghosted keys are invisible(ignored) and you see pressed only keys pressed before ghosting effect.

If ghosting problem is filtered inside keyboard then not exist way for fix it.
I have tested big part of PC and Laptop keyboards. For example keyboards for TOSHIBA SATELLITE laptops use membrane matrix usable with all zx keys combinations used in games.
http://velesoft.speccy.cz/other/Toshiba_Satellite_C660_keyboard_matrix.doc

LENOVO 3000 keyboard is good, but have problem with combinations
1+4+5, 2+4+5, Q+R+T, W+R+T, CURSOR LEFT+CURSOR UP+SPACE

1+4+5 cause activation of keys 1+4+5+"~" - this combination of keys can be detected in own controller and key "~" can be ignored.

2+4+5 cause activation of keys 2+4+5+F1 - this combination of keys can be detected in own controller and key F1 can be ignored.

Q+R+T cause activation of keys Q+R+T+TAB - this combination of keys can be detected in own controller and key TAB can be ignored.

W+R+T cause activation of keys W+R+T+CAPS LOCK - this combination of keys can be detected in own controller and key CAPS LOCK can be ignored.

CURSOR LEFT+CURSOR UP+SPACE cause activation of keys CURSOR LEFT+CURSOR UP+SPACE+F5 - this combination of keys can be detected in own controller and key F5 can be ignored.

Similar filtering we need for different keyboards, but it's easy usable only on laptop keyboards because here is not controller but only classic membrane similar as in ZX.

http://velesoft.speccy.cz/other/IBM_Lenovo_3000_keyboard_matrix.doc

palsw
03.11.2014, 13:34
Планы:
1.Выбор в сетапе по умолчанию 48гц. готово.Перенес на кнопку PrintScreen как в меню написано.
2.Sega джойстики что с ними и если нет по прикрутить.
3.Прикрутить часы DS1338Z-33 на свободные пины GPI
4.В исходниках speccy2010 отключить в Z выходы GPI от греха подальше.
5.Проверить громкость Covox относительно AY в TS-conf

andykarpov
05.11.2014, 18:42
offtopic: ну что же вы все как дети малые, чессслово :(
palsw: обращайся в личку, архив pack я успел скачать :)

MVV
06.11.2014, 11:37
to MVV,только сейчас заметил что переключение 48/60 в сетапе происходит кнопкой Scroll Lock ,а не PrtScr как написано.У всех так?
Поправь в модуле keyboard.vhd, у меня PrtScr. Ссылка в подписи.


Планы:
1.Выбор в сетапе по умолчанию 48гц. еще бы не плохо удвоенный вариант для ЭЛТ моника 100гц (98гц)
Сделано, по умолчанию у меня 48Гц, добавь инверсию в модуле tsconf.vhd.
За 100Гц не уверен, что нужно, а вот 60Гц смотрится вытянуто из-за чего низ изображения невидно. Трогать это опасно, т.к. завязано сейчас на этой фигне всё и вся :) Лезть и лопатить времянки всей системы влом, мотивация?


3.Прикрутить часы DS1338Z-33 на свободные пины GPI
Сделано, не вижу проблемы повторить и на speccy2010.


5.Проверить громкость Covox относительно AY в TS-conf
Настроить можно, сдвинув на разряд влево в модуле tsconf.vhd.

skyther
06.11.2014, 12:02
пипл, а как в тсконфе эмуляция вг сделана? на оригинальной плате она же снаружи.

palsw
06.11.2014, 13:42
MVV, это я для себя список сделал ,что бы не дергаться ,а все планомерно смотреть.

Приятно :)

-- Copyright (c) 2014 MVV, TS-Labs, dsp, waybester, palsw

palsw_06
fix клавиши 48/60
48гц default
https://yadi.sk/d/7l8zE-jPcZPjc